Tag芯片的功能验证平台的设计

Tag芯片的功能验证平台的设计

ID:37019722

大小:3.07 MB

页数:69页

时间:2019-05-20

Tag芯片的功能验证平台的设计_第1页
Tag芯片的功能验证平台的设计_第2页
Tag芯片的功能验证平台的设计_第3页
Tag芯片的功能验证平台的设计_第4页
Tag芯片的功能验证平台的设计_第5页
资源描述:

《Tag芯片的功能验证平台的设计》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、摘要随着IC设计规模的增加,功能验证的复杂程度越来越高,各种新的验证方法也层出不穷,如何根据不同的IC产品来选择适当的验证方法获取最大的工作效率,仍然是一个值得研究的课题。仿真验证作为传统的验证方法,目前仍然是主流的验证技术,也是本文采用的验证方法。本文的被验证对象是一个基于Verilog语言设计的符合EPCGen2UHFRFID接口协议的Tag芯片。本文完成了具有自检查功能的Tag芯片的功能验证平台。使用C/C++、Verilog、PLI作为验证平台的设计语言,采用C语言设计Reader模块、Tag模块、比较模块,而DUV和Testbench采用Verilog语言设计。设计两

2、个PLI程序来实现C与Verilog语言之间的通信。最后用C程序把这些模块连接起来,完成了Tag芯片的功能验证平台的搭建。另外,论文讨论在软件Modelsim环境下PLI接口的配置问题,阐明验证平台的验证过程和应用方法。Tag芯片顺利通过验证平台的功能验证和后仿真,现已在流片中。关键字:射频识别阅读器数字标签PLIDUV功能验证AbstractwiththeincreasememofthescaleinICdesign,thefunctionalverificationoftheICdesignisgettingmoreandmorecomplexandmanynewverif

3、icationmethodshaveemergedoneafteranother.ItisallimportantresearchtopictochoosetheappropriateverificationmethodsforthedifferentICproductsinordertoobtainthe伊eateStefficiency.Asthetraditionalverificationmethod,SimulationiSstillthemainstreamtechniquewhichisusedinthisthesis.TheDUVisaTagchipaccord

4、ingtoEPCGen2UHFRFIDbasedonVerilog.ThethesisdesignsaverificationplatformtoverifyTagfunctionbasedonself-testfunctiontheoryandimplementations.Thethesisintroducesanewdesignmethodwhichincorporatestheself-testfunctioninthebasisoftraditionalfunctionalverificationmethodandverifiestheimplementationpr

5、ocess.TheverificationplatformincludesReadermodel,Tagmodel,comparisonmodelandTestbench,ofwhichthefirstthreemodelsusetheClanguageasthedesignlanguagewhereastheDUVandTestbenchusestheVerilogHDLdiscussingthedivisionoffunctionmodule,theconnectionofeachmodelandthedetailedfunctionofeachmodule.TwoPLIp

6、rogramsinPLIinterfacelanguagehavebeendesignedtorealizethecommunicationbetweenCandVeriloglanguage.AndthenaCprogramconnectsallofthesemodelstofulfilltheestablishmentoftheself-testverificationplatform.Inaddition,thethesisintroduCCSPLIapplicationinModelsimenvironment,theverificationprocessofthepl

7、atformandtheusageofit.Throughthefunctionalverificationandpost—simulation,thetagchipfulfillthedesignrequirementsandnowhasbeentapedout.Keywords:RFIDReaderTagPLIDUVFunctionalVerification西安电子科技大学学位论文创新性声明秉承学校严谨的学风和优良的科学道德,本人声明所呈交的论文是我个人在导师指导下进行的研究工作及取得

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。