VHDL顺序描述语句

VHDL顺序描述语句

ID:36886551

大小:325.50 KB

页数:79页

时间:2019-05-10

VHDL顺序描述语句_第1页
VHDL顺序描述语句_第2页
VHDL顺序描述语句_第3页
VHDL顺序描述语句_第4页
VHDL顺序描述语句_第5页
资源描述:

《VHDL顺序描述语句》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、第18讲VHDL顺序语句(4)主要知识点:RETURN语句NULL语句函数调用语句过程调用语句VHDL语言的顺序语句顺序语句(SequentialStatements)用来实现模型的算法描述。顺序语句和并行语句是VHDL程序设计中两大基本描述语句系列。并行语句(ConcurrentStatements)用来表示各模型算法描述之间的连接关系。顺序语句只能出现在进程(PROCESS)过程(PROCEDURE)函数(FUNCTION)中,其它都是并行语句。顺序语句是相对于并行语句而言的,其特点是每一条顺序语句的执行(指仿真执行)顺序是与它们的书写顺序基本一致的,[理解]一个进程是由一系列顺序

2、语句构成的,而进程本身属并行语句。也就是说,在同一设计实体中,所有的进程是并行执行的,每个进程内部是顺序执行的。VHDL有如下六类基本顺序语句:信号赋值语句变量赋值语句1、赋值语句2、流程控制语句3、等待语句4、子程序调用语句5、返回语句6、空操作语句IF语句CASE语句LOOP语句NEXT语句EXIT语句RETURN语句NULL语句WAIT语句过程调用函数调用返回语句RETURNRETURN语句是一段子程序结束后,返回主程序的控制语句。它只能用于函数与过程体内,并用来结束当前最内层函数或过程体的执行。RETURN语句的书写格式为:RETURN;RETURN表达式;第一种格式只能用于过

3、程,它后面一定不能有表达式;第二种格式只能用于函数,它后面必须有条件表达式,它是函数结束的必要条件,函数结束必须用RETURN语句。例1:在函数体中使用RETURN语句LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;ENTITYexampleISPORT(a,b:ININTEGERrange0to10;y:OUTINTEGERrange0to10);ENDexample;ARCHITECTURErtlOFexampleISBEGINPROCESS(a,b)FUNCTIONmaximum(a,b:INTEGERrange0to10)RETURNINTEGER

4、ISVARIABLEtmp:INTEGER;BEGINIF(a>b)THENtmp:=a;ELSEtmp:=b;ENDIF;returntmp;ENDmaximum;BEGINy<=maximum(a,b);ENDPROCESS;ENDrtl;上例是一个对两个输入整数取最大值的功能描述,在结构体的进程中定义了一个取最大值的函数。在函数体中正是通过RETURN语句将比较得到的最大值返回的,并结束该函数体的执行。NULL语句NULL语句是空操作语句,不完成任何操作,执行NULL语句只是让程序运行流程走到下一个语句。NULL语句的书写格式为:NULL;常用于CASE语句中,利用NULL来表

5、示所余的不用的条件下的操作行为,以满足CASE语句对条件值全部列举的要求。CASEOpcodeISWHEN"001"=>tmp:=regaANDregb;WHEN"101"=>tmp:=regaORregb;WHEN"110"=>tmp:=NOTrega;WHENOTHERS=>NULL;ENDCASE;例2:采用NULL语句的四选一数据选择器。LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;ENTITYmux4ISPORT(d0:INSTD_LOGIC_VECTOR(7DOWNTO1);d1:INSTD_LOGIC_VECTOR(7DOWNTO1);d2

6、:INSTD_LOGIC_VECTOR(7DOWNTO1);d3:INSTD_LOGIC_VECTOR(7DOWNTO1);s0:INSTD_LOGIC;s1:INSTD_LOGIC;y:OUTSTD_LOGIC_VECTOR(7DOWNTO1));ENDmux4;ARCHITECTUREbehaveOFmux4ISBEGINlable:PROCESS(d0,d1,d2,d3,s0,s1)VARIABLEtmp:INTEGER;BEGINtmp:=0;IF(s0=‘1’)THENtmp:=tmp+1;ENDIF;IF(s1=‘1’)THENtmp:=tmp+2;ENDIF;CASEtm

7、pISWHEN0=>y<=d0;WHEN1=>y<=d1;WHEN2=>y<=d2;WHEN3=>y<=d3;WHENOTHERS=>NULL;ENDCASE;ENDPROCESS;ENDbehave;上例是通过对用于选通8位总线的四选一多路选择器进行功能描述,具体说明NULL语句的使用。子程序调用语句A.过程调用语句(ProcedureCall)与其他高级程序设计语言相似,VHDL提供了子程序的概念。其中在进程、函数和过程中,可以使用过程调用

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。