msp430模数转换模块

msp430模数转换模块

ID:35989076

大小:158.50 KB

页数:8页

时间:2019-04-29

msp430模数转换模块_第1页
msp430模数转换模块_第2页
msp430模数转换模块_第3页
msp430模数转换模块_第4页
msp430模数转换模块_第5页
资源描述:

《msp430模数转换模块》由会员上传分享,免费在线阅读,更多相关内容在应用文档-天天文库

1、实用标准文案MSP430模数转换模块--ADC12   MSP430单片机的ADC12模块是一个12位精度的A/D转换模块,他具有高速度,通用性等特点。大部分都内置了ADC模块.而有些不带ADC模块的片子,也可通过利用内置的模拟比较器来实现AD的转换。在系列产品中,我们可以通过以下列表来简单地认识他们的ADC功能实现。   系列型号       ADC功能实现      转换精度   MSP430X1XX2    比较器实现        10位   MSP430F13X      ADC模块        

2、  12位   MSP430F14X      ADC模块          12位   MSP430F43X      ADC模块          12位   MSP430F44X      ADC模块          12位   MSP430X32X      ADC模块          14位从以下ADC12结构图中可以看出,ADC12模块中是由以下部分组成:输入的16路模拟开关,ADC内部电压参考源,ADC12内核,ADC时钟源部分,采集与保持/触发源部分,ADC数据输出部分,ADC控制寄存器等

3、组成。  输入的16路模拟开关 16路模拟开关分别是由IC外部的8路模拟信号输入和内部4路参考电源输入及1路内部温度传感器源及AVCC-AVSS/2电压源输入。外部8路从A0-A7输入,主要是外部测量时的模拟变量信号。内部4路分别是Veref+ADC内部参考电源的输出正端,Vref-/Veref-ADC内部参考电源负端(内部/外部)。1路AVCC-AVSS/2电压源和1路内部温度传感器源。片内温度传感器可以用于测量芯片上的温度,可以在设计时做一些有用的控制;在实际应用时用得较多。而其他电源参考源输入可以用作A

4、DC12的校验之用,在设计时可作自身校准。   ADC内部电压参考源ADC电压参考源是用于给ADC12内核作为一个基准信号之用的,这是ADC必不可少的一部分。在ADC12模块中基准电压源可以通过软件来设置6种不同的组合。AVCC(Vr+),Vref+,Veref+,AVSS(Vr-),Vref-/Veref-。 ADC12内核文档实用标准文案ADC12的模块内核是共用的,通过前端的模拟开关来分别来完成采集输入。ADC12是一个精度为12位的ADC内核,1位非线性微分误差,1位非线性积分误差。内核在转换时会参用

5、到两个参考基准电压,一个是参考相对的最大输入最大值,当模拟开关输出的模拟变量大于或等于最大值时ADC内核的输出数字量为满量程,也就是0xfff;另一个则是最小值,当模拟开关输出的模拟变量大小或等于最大值时ADC内核的输出数字量为最低量程,也就是0x00。而这两个参考电压是可以通过软件来编程设置的。 ADC时钟源部分ADC12的时钟源分有ADC12OSC,ACLK,MCLK,SMCLK。通过编程可以选择其中之一时钟源,同时还可以适当的分频。 采集与保持,触发源部分ADC12模块中有着较好的采集与保持电路,采用不

6、的设置有着灵活的应用。关于这方面的详情请参考手册上的寄存器说明,此部分我们日后再作补上。 ADC数据输出部分ADC内核在每次完成转换时都会将相应通道上的输出结果存贮到相应用通道缓冲区单元中,共有16个通道缓冲单元。同时16个通道的缓冲单元有着相对应的控制寄存器,以实现更灵活的控制。 ADC控制寄存器ADC12CTL0转换控制寄存器0ADC12CTL1转换控制寄存器1ADC12IE   中断使能寄存器ADC12IFG 中断标志寄存器ADC12IV   中断向量寄存器ADC12MEM0-15存储控制寄存器0-15

7、ADC12MCTL0-15存储控制寄存器0-15MSP430 ADC12模块结构图文档实用标准文案ADC12应有例程//******************************************************************************#include//********************************************//表区unsignedcharnumber_table[]={'0','1','2','3','4'

8、,'5','6','7','8','9'};unsignedchardisplay_buffer[]={0x00,0x00,0x00,0x00,0xff};//*******************波特率***********3006001200240048009600192003840076800115200const文档实用标准文案//******************************

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。