《EDA课程设计》课程设计报告--乐曲硬件演奏电路设计

《EDA课程设计》课程设计报告--乐曲硬件演奏电路设计

ID:35626100

大小:213.50 KB

页数:16页

时间:2019-04-03

《EDA课程设计》课程设计报告--乐曲硬件演奏电路设计_第1页
《EDA课程设计》课程设计报告--乐曲硬件演奏电路设计_第2页
《EDA课程设计》课程设计报告--乐曲硬件演奏电路设计_第3页
《EDA课程设计》课程设计报告--乐曲硬件演奏电路设计_第4页
《EDA课程设计》课程设计报告--乐曲硬件演奏电路设计_第5页
资源描述:

《《EDA课程设计》课程设计报告--乐曲硬件演奏电路设计》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、EDA课程设计《EDA课程设计》课程设计报告题目乐曲硬件演奏电路设计学号0708258姓名储诚庆年级专业07级电子信息工程指导教师吴朝晖完成日期2010年5月30日安徽师范大学物理与电子信息学院CollegeofPhysicsandElectronicInformation,AnhuiNormalUniversity15EDA课程设计目录1.摘要……………………………………………………………………22.关键词…………………………………………………………………23.正文……………………………………………………………………33.1任务要求…………………………………………………………33.

2、2设计原理分析………………………………………………33.3相应模块的VHDL语言…………………………………………43.4硬件原理图………………………………………………………83.5实验测量数据整理………………………………………………83.6仿真分析结果……………………………………………………93.7仿真结果分析、总结与解释及改进方案………………………113.8设计内容优点………………………………………………123.9本实验改进方案………………………………………………124、经验体会……………………………………………………………135、参考文献………………………………………………………

3、……1415EDA课程设计乐曲硬件演奏电路设计摘要:在电子设计技术领域可编程逻辑器件的广泛应用,为数字系统的设计带来极大的灵活性,本系统是采用EDA技术设计的一个分频器设计硬件乐曲演奏电路,该系统基于计算机中时钟分频器的原理,采用自顶向下的设计方法来实现,它得主系统有三个模块组成。其由TONETABA.VHD、NOTETABS.VHD、SPEAKERA.VHD三个部分组成。系统实现是用硬件描述语言VHDL按模块化方式进行设计,然后进行编程、时序仿真、整合。本系统功能比较齐全,有一定的使用价值。与利用微处理器(MPU)来实现乐曲演奏相比,一纯硬件完成乐曲演奏电路的逻辑要复杂得多,如果不

4、借助于EDA工具和硬件描述语言,仅凭传统的数字逻辑电子技术,即使最简单的演奏电路也难以实现。组成乐曲的每个音符的发音频率值及其持续时间是乐曲能连续演奏所需的两个基本要素,问题是如何来获得这两个要素所对应的数值以及通过纯硬件的手段来利用这些数值实现所期望乐曲的演奏效果。模块NOTETABS类似于弹琴人的手指;TONETABA类似于琴键;SPEAKERA类似于琴弦或发声器。本实验设计项目以“梁祝”乐曲演奏电路的实现。关键词:EDA;VHDL;音调发生;分频;Max+plussII;15EDA课程设计正文:一、任务要求1、利用分频器的设计,分析、及测试其功能,然后通过分频器测试音符的输出信

5、号;2、分析本次设计内容,掌握其各个模块的功能,输入不同的信号,仿真出其输出信号内容;3、掌握EDA的使用工具Max+plussII,通过VHDL语言的编辑得出各个模块的电路内容;4、组成乐曲的每个音符的发音频率值及其持续的时间是乐曲能连续演奏所需要的两个基本要素,运用这两个基本要素所对应的数值,通过纯硬件的手段实现乐曲的演奏效果;5、本设计是通过内部固定的音乐内容来实现音乐的自动播放,通过本次内容分析其优缺点,以及提出相关的改进方案;二、设计电路原理分析:本实验主要由三个模块组成。    15EDA课程设计模块一:为一个数控分频器SPEAKER,其中CLK端输一具有较高频率(12M

6、HZ)的信号,通过SPEAKER分频后由SPKOUT输出。由于直接从数控分频中出来的输出信号是脉宽极窄的脉冲式信号,为了有利于驱动喇叭,需另加一个D触发器以均衡其占空比,但这时的频率将是原来的1/2。SPEAKER对CLK输入信号的分频比由11位预置数TONE[10..0]决定。SPKOUT的输出频率将决定每一音符的音调,这样分频计数器的预置值TONE[10..0]与SPKOUT的输出频率就有了对应的关系。    模块二:TONETABA是确定乐曲的速度以及每个音符的节拍数。TONETABA的功能首先是为SPEAKER提供决定所发音符的分频预置数,而此数在SPEAKER输入口停留的时

7、间为此音符的节拍值。模块TONETABA是乐曲简谱码对应的分频预置数查表电路,共13个,每一音符的停留时间由音乐节拍和音调发生器模块NOTETABS的CLK的输入频率决定,在此为4HZ。这13个值的输出由对应于TONETABA的4位输入值Index[3..0]确定,而Index[3..0]最多有16种可选值。输向TONETABA中的值ToneIndex[3..0]的输出与持续的时间由模块NOTETABS决定。    模块三:notetabs为音调发生器,在

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。