sopc eda综合课程设计-乐曲硬件演奏new

sopc eda综合课程设计-乐曲硬件演奏new

ID:18559428

大小:284.00 KB

页数:16页

时间:2018-09-19

sopc eda综合课程设计-乐曲硬件演奏new_第1页
sopc eda综合课程设计-乐曲硬件演奏new_第2页
sopc eda综合课程设计-乐曲硬件演奏new_第3页
sopc eda综合课程设计-乐曲硬件演奏new_第4页
sopc eda综合课程设计-乐曲硬件演奏new_第5页
资源描述:

《sopc eda综合课程设计-乐曲硬件演奏new》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、SOPC/EDA综合课程设计-乐曲硬件演奏目录第一章EDA技术综合应用设计的主要软件及设备-1-1.1主要软件、设备及作用:-1-1.2实验电路结构图......-1-第二章乐曲硬件演奏电路设计的原理-2-第三章乐曲硬件演奏电路VHDL程序设计-4-3.1音乐节拍和音调发生器模块PUTTAB-4-3.1.1PUTTAB模块原理图-5-3.1.2puttab模块时序仿真图-5-3.2音乐谱对应分频预制数查表电路模块ONTAB-5-3.2.1ONTAB模块原理图-7-3.2.2ONTAB模块时序仿真图-7-3.3音乐符数控11分频电

2、路模块OUTSPEKER-8-3.3.1OUTSPEAKER模块原理图-9-3.3.2OUTSPEAKER模块时序仿真图-9-3.4乐曲演奏音符数据文件(梁祝、自选曲)-10-3.4引脚绑定-11-第四章顶层程序的时序仿真图-13-第五章课程设计心得体会-14-参考文献.................................................-15-15SOPC/EDA综合课程设计-乐曲硬件演奏第一章EDA技术综合应用设计的主要软件及设备1.1主要软件、设备及作用:EDA技术的综合应用设计与开发可能用到的

3、主要开发设计软件、设备及其作用如下:(1)EDA的工具软件:目前比较流行的、主流厂家的EDA的软件工具有Altera的MAX+plusⅡ、QuartusⅡ,Lattice的ispDesignExpERT,Xilinx的FoundationSeries、ISE/ISE-WebPACKSeries。(2)计算机辅助分析软件:MATLAB用于复杂系统的系统级仿真,DSP的系统建模,有关数据的计算和分析等。(3)印刷电路板PCB的设计软件:主要有PROTEL、ORCAD等,用于设计系统的外围部件或外围电路的PCB图。(4)EDA实验开发

4、系统/片上系统(SOPC)/嵌入式系统:用于EDA实验与开发的硬件的编程下载及硬件验证。(5)单片机仿真器及仿真头:用于单片机程序的调试与硬件的验证。(6)印刷电路板PCB的制作设备:用于系统外围部件或外围电路的PCB的制作。(7)信号源、示波器、频率计、无线电综合测试仪等其它相关设备。1.2实验电路结构图图1-1实验电路结构图NO.115SOPC/EDA综合课程设计-乐曲硬件演奏第二章乐曲硬件演奏电路设计的原理与利用微处理器来实现乐曲演奏相比,一纯硬件完成乐曲演奏电路的逻辑要复杂的多,如果不借助于功能强大的EDA工具和硬件描述

5、语言,仅凭传统的数字逻辑技术,即使最简单的演奏电路也难以实现。本文通过利用硬件乐曲演奏电路的设计实例,使读者初步了解VHDL硬件描述语言和QuartusII开发环境。设计并调试好一个能产生梁祝曲子的音乐发生器,并利用EDA实验开发系统(本设计是在Altera的FPGA芯片CycloneEP1C6和杭州康芯电子有限公司生产的GW48系列EDA)的基础上完成硬件测试。根据系统提供的时钟源引入一个12MHZ时钟的基准频率,对其进行各种分频系数的分频,产生符合某一音乐的频率,然后再引入8HZ的时钟为音乐的节拍控制,最后通过扬声器放出来。

6、预置计数器初始计数值十六分频音名译扬声器数码管12MHZZ8HZ乐谱产生器图2-1音乐产生器原理框图乐曲时由每个音符的发音频率值及其持续的时间是乐曲能连续演奏的两个基本要素,在下面的电路就是来获取这两个要素所对应的数值以及通过纯硬件的手段来利用这些数值来实现所希望乐曲的演奏效果。模块PUTTAB类似于弹琴人的手指;模块ONTAB类似于琴键;模块OUTSPEKER类似于琴弦或音调发生器。乐曲硬件演奏电路设计的原理:(1)音符的频率可以由图中的OUTSPEKER获得,这是一个数控分频器。由其CLK端输入一具有较高频率(这里是12MH

7、Z)的信号,通过OUTSPEKER分频后又SPKOUT输出,由于直接从数控分频器中出来的输出信号时脉宽及其狭窄的脉冲式信号,为了有利于驱动扬声器,需另加一个D触发器一均衡其占空比,但这是的频率将是原来的一半。OUTSPEKER15SOPC/EDA综合课程设计-乐曲硬件演奏对CLK输入信号的分频比又11位的预置数TONE[10..0]决定。SPKOUT的输出频率将决定每一音符的音调,这样,分频计数器的预置数TONE[10..0]与SPKOUT的输出频率就有了对应关系。例如在ONTAB模块中若去TONE[10..0]=1036,将发

8、音符为“3”音的信号频率。(3)3.22音符的持续时间须根据乐曲的速度及每个音符的节拍数来确定,图8-6中模块ONTAB的功能首先是为SPEAKRA提供决定所发音符的分频预置数,而此数在SPEAKRA输入口停留的时间即为此音符的节拍值。模块ONTAB是乐曲简谱码

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。