EDA课程设计-多功能数字钟课程设计报告

EDA课程设计-多功能数字钟课程设计报告

ID:35456096

大小:277.00 KB

页数:16页

时间:2019-03-24

EDA课程设计-多功能数字钟课程设计报告_第1页
EDA课程设计-多功能数字钟课程设计报告_第2页
EDA课程设计-多功能数字钟课程设计报告_第3页
EDA课程设计-多功能数字钟课程设计报告_第4页
EDA课程设计-多功能数字钟课程设计报告_第5页
资源描述:

《EDA课程设计-多功能数字钟课程设计报告》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、多功能数字电子钟一、设计要求1、具有以二十四小时计时、显示、整点报时、时间设置和闹钟的功能。2、设计精度要求为1S。二.系统功能描述1.系统输入:系统状态及校时、定时转换的控制信号为k、mode、set;时钟信号clk,采用1024Hz;系统复位信号为reset。输入信号均由按键产生。系统输出:LED显示输出,蜂鸣器声音信号输出。多功能数字钟系统功能的具体描述如下:2.计时:正常工作状态下,每日按24h计时制计时并显示,蜂鸣器无声,逢整点报时。3.校时:在计时状态显示下,按下“set键”,进入“小时”校准状态,之后按下“

2、k键”则进入“分”校准状态,继续按下“k键”则进入“秒复零”状态,第三次按下“k键”又恢复到正常计时显示状态。1)“小时”校准状态:在“小时”校准状态下,显示“小时”数码管以1Hz的频率递增计数。2)“分”校准状态:在“分”校准状态下,显示“分”的数码管以1Hz的频率递增计数。3)“秒”复零状态:在“秒复零”状态下,显示“秒”的数码管复零。4.整点报时:蜂鸣器在“59”分钟的第“51”、“53”、“55”、“57‘秒发频率为512Hz的低音,在“59”分钟的第“59”秒发频率为1024Hz的高音,结束时为整点。5.显示:

3、要求采用扫描显示方式驱动6个LED数码管显示小时、分、秒。闹钟:闹钟定时时间到,蜂鸣器发出周期为1s的“滴”、“滴”声,持续时间为10s;闹钟定时显示。6.闹钟定时设置:在闹钟定时显示状态下,按下“set键”,进入闹钟的“时”设置状态,之后按下“k键”进入闹钟的“分”设置状态,继续按下“k键”则进入“秒”设置状态,第三次按下“k键”又恢复到闹钟定时显示状态。1)闹钟“小时”设置状态:在闹钟“小时”设置状态下,显示“小时”的数码管以1Hz的频率递增计数。2)闹钟:“分”设置状态:在闹钟“分”设置状态下,显示“分”的数码管以

4、1Hz的频率递增计数。三、控制器的MDS图及多功能数字系统结构逻辑框图1、控制器的MDS图Mode=1,set=0S0S4S2S3S7S1S5S6Mode=1,set=0K=1K=0set=1K=1K=0set=1K=1K=1K=1K=1Chs↑↓Cht↑↓Cms↑↓Cmt↑↓Cst↑↓Css↑↓S0:显示计时时间s1:调计时的时s2:调计时的分s3:调计时的秒S4:显示闹铃时间s5:调闹铃的时s6:调闹铃的分s7:调闹铃的秒1、多功能数字系统结构逻辑框图三、各功能模块设计说明及源程序1、控制器:设计说明:根据外部的输入

5、控制信号,完成各个状态之间的转换,并在相应状态输出相应的控制信号,从而对整个系统的工作进行控制。控制器:libraryieee;useieee.std_logic_1164.all;useieee.std_logic_arith.all;entitycontlisport(clk,reset,k,set:instd_logic;cht,cmt,cst,cha,cma,csa,flashh,,sel_showflashm,flashs:outstd_logic);endcontl;architecturearofcontl

6、istypestate_typeis(s0,s1,s2,s3,s4,s5,s6,s7);signalstate:state_type;beginprocess(clk,reset,k,set)beginif(rising_edge(clk))thenif(reset='1')thenstate<=s0;endif;casestateiswhens1=>sel_show<='1';cht<='1';flashh<='1';if(k='1')thenstate<=s2;elsestate<=s1;endif;whens2=>

7、sel_show<='1';cmt<='1';flashm<='1';if(k='1')thenstate<=s3;elsestate<=s2;endif;whens3=>sel_show<='1';cst<='1';flashs<='1';if(k='1')thenstate<=s0;elsestate<=s3;endif;whens4=>sel_show<='0';if(k='0'andset='1')thenstate<=s5;elsif(k='1'andset='0')thenstate<=s0;elsestat

8、e<=s4;endif;whens5=>sel_show<='0';cha<='1';flashh<='1';if(k='1')thenstate<=s6;elsestate<=s5;endif;whens6=>sel_show<='0';cma<='1';flashm<='1';if(k='1')thenstate

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。