σ-δadc中数字接口电路设计与后端实现研究

σ-δadc中数字接口电路设计与后端实现研究

ID:34434506

大小:3.63 MB

页数:82页

时间:2019-03-06

σ-δadc中数字接口电路设计与后端实现研究_第1页
σ-δadc中数字接口电路设计与后端实现研究_第2页
σ-δadc中数字接口电路设计与后端实现研究_第3页
σ-δadc中数字接口电路设计与后端实现研究_第4页
σ-δadc中数字接口电路设计与后端实现研究_第5页
资源描述:

《σ-δadc中数字接口电路设计与后端实现研究》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、学校代码10530学号201510121227分类号TN492密级硕士学位论文Σ-ΔADC中数字接口电路设计与后端实现研究学位申请人刘慧君指导教师谢亮副教授学院名称物理与光电工程学院学科专业电子科学与技术研究方向数字集成电路设计二○一八年六月DesignofDigitalInterfaceCircuitinΣ-ΔADCandResearchofBackendImplementationCandidateHuijunLiuSupervisorAssociateProfessorLiangXieCollegeFacultyofPhysicsandOptoelectricEng

2、ineeringProgramElectronicScienceandTechnologySpecializationDigitalIntegratedCircuitdesignDegreeMasterofScienceUniversityXiangtanUniversityDateJune,2018摘要本文介绍了模数转换器的作用以及国内外Σ-ΔADC的发展现状,说明了选题的意义。首先根据ASIC设计的一般流程提出数字接口电路的设计流程,然后了解SPI协议和芯片模块的划分,确定设计方案,完成RTL代码设计及功能验证,最后使用Synopsys公司的EDA工具完成整体数字电路

3、的后端实现。首先,对数字接口电路模块进行前端设计,其主要包括时钟、复位以及总线接口模块。其中,时钟系统设计的难点在于时钟相位切换不能产生毛刺,关键点在于低功耗分频器的设计;复位系统的难点在于数字上电复位模块的设计;总线接口模块参考摩托罗拉SPI总线协议,且工作于从机模式,其关键点在于对主时钟MCLK与串行时钟SCK异步时钟域的同步处理,以及模数转换器输出数据的处理机制。接着,使用Verilog硬件描述语言(HDL,hardwaredescriptionlanguage)完成数字接口电路模块的RTL代码编写,利用Modelsim工具进行前仿验证并对仿真结果进行分析,结果表明

4、,数字接口电路模块设计满足要求。最后,基于CSMC0.35μmCMOS工艺完成整体数字集成电路的后端实现。首先采用创新点即异步分频与门控时钟技术相结合的低功耗逻辑综合策略进行逻辑综合,其相比传统策略功耗降低了27.68%,且面积缩小了1.82%;接着将综合后得到的门级网表与RTL代码进行逻辑等价性验证,验证结果表明其功能一致;接着在ICC中进行布局布线,在时钟树综合阶段提出一个创新点即降低时钟树级数与增加保持时间余量相结合的CTS方案,采用此方案进行CTS所得到的时钟树质量更优,且保持时间负松弛总值降低了95.62%,提取寄生参数,进行静态时序分析得到时序收敛所需缓冲器个

5、数减少了大约98.13%,运行时间缩短了97.25%,有效地降低了布线拥塞程度,快速有效地实现了时序收敛;接着进行物理验证,DRC和LVS验证通过;然后完成后仿验证,其通过表明布线后的门级网表与RTL代码的功能一致;然后进行功耗分析,最终得到的芯片面积为2583×222576μm,小于3000×3000μm;最大平均功耗为2.78mW,小于3mW,均满足设计要求。关键词:Σ-ΔADC;低功耗;时钟树综合;时序收敛IAbstractTheroleofAnalogtoDigitalConvertersandthedevelopmentstatusofΣ-ΔADCareintr

6、oduced,whichexplainsthesignificanceofthistopic.Firstly,putforwardanewdesignflowofdigitalinterfacecircuitinΣ-ΔADCwhichbasedonthegeneralflowofASIChasbeenproposed.Then,withtheunderstandingofSPIprotocolandthedivisionofchipmodule,thedesignschemeisdetermined,andtheRTLcodeandfunctionalverificati

7、onarecompleted,andSynopsys'EDAtoolsareusedtocompletetheback-endimplementationoftheoveralldigitalcircuit.Firstly,thefront-enddesignfortheclock,reset,andbusinterfacemodulesofthedigitalinterfacecircuitintheΣ-ΔADCarecompleted.Thedifficultyoftheclocksystemdesignisthatthe

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。