vhdl语言为核心的eda技术在医学中的应用new

vhdl语言为核心的eda技术在医学中的应用new

ID:34378445

大小:742.80 KB

页数:3页

时间:2019-03-05

vhdl语言为核心的eda技术在医学中的应用new_第1页
vhdl语言为核心的eda技术在医学中的应用new_第2页
vhdl语言为核心的eda技术在医学中的应用new_第3页
资源描述:

《vhdl语言为核心的eda技术在医学中的应用new》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、软件技术谈笑玲等:VHDL语言为核心的EDA技术在医学中的应用VHDL语言为核心的EDA技术在医学中的应用谈笑玲,段新文(青海师范大学,青海西宁810008)摘要:在此将VHDL语言设计的计数器应用于脉搏测量,精确的计量出脉搏跳动,并通过数码管直观地表示出来。显示出VHDL语言设计数字系统与医学的紧密联系及其在医疗实践中的巨大应用前景。实践证明,将EDA技术与医学相结合,不仅能促进EDA技术的深入发展,而且能够极大地推动医学的进步。关键词:VHDL;Max+PlusⅡ;计数器;EDA技术中图分类号:T

2、P311文献标识码:B文章编号:10042373X(2010)0820070203MedicalApplicationofEDATechnologyTakingVHDLLanguageasCoreTANXiao2ling,DUANXin2wen(QinghaiNormalUniversity,Xining810008,China)Abstract:Asanewhardwaredescriptionlanguage,VHDLismainlyusedforthedescription,simulation

3、andautomaticdesignofdigitalcircuitsandsystems.Itisthecoretechnologyofcurrentclectronicdesignautomation(EDA)andcanbemorewidelyusedinthemedicalspecialityandotherrelativefieldsalongwiththedevelopmentofinformationtechnology.Inthisstudy,thecounterdesignedwit

4、hVHDLwasappliedtohumanpulsemeasurement.Comparedtotheartificialmethod,there2sultsmeasuredbysuchcounterismoreaccurateandcanbedisplayedintuitivelybythedigitaltubes.ItshowstheclosecontactbetweenthedigitalsystemdesignedwithVHDLandmedicine,aswellasitsgreatpro

5、spectsonthemedicalpractice.IthadprovedthatthecombinationofEDAandmedicinenotonlypromotesthefurtherapplicationofEDAtechnology,butalsobooststhedevelopmentofmedicinegreatly.Keywords:VHDL;Max+PlusⅡ;counter;EDAtechnology(4)可以延长设计的生命周期。0引言(5)具有电路仿真与验证功能,用户甚至不必

6、编写VHDL超高速集成电路硬件描述语言是随着集成相量测试即可进行源代码级调试。设计者能够跳过电电路系统化和高度集成化逐步发展起来的,是一种用于路实验,直接对各种方案进行比较和选择,使设计效率数字系统设计、测试,面向多领域、多层次的IEEE标准得以提高。硬件描述语言。它从20世纪70年代作为电路设计工(6)对设计的描述具有相对独立性。具诞生于美国国防部至今,已经成为十分流行的硬件描(7)语言标准、规范,易于共享和复用。[1]述工具,并且为大多数EDA工具所支持。随着电子目前,VHDL渗透了电子技术及其相

7、关的各个工技术的不断进步,数字系统的设计正朝着高速度、大容业领域,在工业设计中发挥着日益重要的作用。在世界量、小体积的方向发展。传统的自底而上的模式已不能范围内,关于VHDL在多个领域尤其在芯片,系统设计满足芯片和系统的设计要求。为了提高设计效率,能够方面的应用研究已经取得众多瞩目成果。简化设计流程,大幅降低设计难度的VHDL设计方法而将VHDL与医学相结合,势必成为电子自动化受到广泛关注。VHDL与其他传统集成电路描述语言[223]设计(EDA)一个全新的研究方向,本文主要研究将相比,具有明显优势:

8、EDA通过VHDL应用于医学,以对脉搏的测量为例,(1)功能强大,描述力强。可用于门级、电路级甚以实现数字系统对人体多种生理活动及生理反应的直至系统级的描述、仿真和设计。观精确测量。(2)可移植性好。对于设计和仿真工具及不同的平台均可采用相同的描述。1实验设计(3)研制周期短,成本低。1.1EDA技术在医学教学中脉搏测量中的应用探讨收稿日期:2009211225在临床诊断,护理学等中介绍脉搏的测量时认为脉70《现代电子技术》2010年第8期总第319期þ

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。