eda课程设计 自动量程频率计

eda课程设计 自动量程频率计

ID:34254595

大小:299.50 KB

页数:23页

时间:2019-03-04

eda课程设计  自动量程频率计_第1页
eda课程设计  自动量程频率计_第2页
eda课程设计  自动量程频率计_第3页
eda课程设计  自动量程频率计_第4页
eda课程设计  自动量程频率计_第5页
资源描述:

《eda课程设计 自动量程频率计》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、河北科技大学课程设计报告姓名(学号):田继辉(13)王辉(14)王璐茜(15)王荣豪(16)专业班级:电信132班课程名称:EDA技术课程设计学年学期:2016—2017学年第2学期指导教师:于国庆2016年6月课程设计成绩评定表学生姓名田继辉学号130701213成绩学生姓名王辉学号130701214成绩学生姓名王璐茜学号130701215成绩学生姓名王荣豪学号130701216成绩总成绩专业班级电信132班起止时间2016/6/20—2016/6/23设计题目自动量程频率计指导教师评语指导教师:年月日基于FPGA自动量程频率计摘要:系统基于EDA技术的自动量程频率计实现,以现场可编程门阵列

2、(FPGA)为设计核心,集成于一片ALtera公司的ACEX系列EP1K100QC208—3芯片上,采用超高速硬件描述语言(VHDL)模块化编程,实现了被测信号的频率、计数、周期测量,并显示在8个数码管上等功能。本设计的特点在于能够完成被测信号的频率手动和自动测量,当超量程和欠量程时能报警提示,并当自动模式时能自动切换到适合的量程,该系统具有集成度高、灵活性强、易于开发和维护等特点。关键字:FPGAVHDL自动量程频率计计数周期测量Abstract:ThesystembasedontheEDAtechniqueachievetheAutomaticmeasurementfrequencymet

3、er,centeringonFPGA(FieldProgrammableGateArray)andadoptingVHDL(VHSICHardwareDescriptionLanguage)astheplatformtocarryoutthemodularizationprogramming.ThewholedesignintegratesontheACEXseriesEP1K100QC208—3chipoftheALteracompany.Ourdesignrealizesthemeasurementofmeasuredsignal‘sfrequencyandcycle,countingan

4、ddisplayingtheresultsonthenixietubes.Thisdesignfeaturesinachievingthemeasurementoffrequencymanuallyorautomatically,anditcangiveanalarmwhenitoverrangeorowerange.Whenyouchoosetheautomaticmode,italsocanchangeforthesuitablerange.Thesystemhasthefeaturesofhighintegration,strongflexibilityandeasytodevelopa

5、ndmaintain.Key–word:FPGAVHDLAutomaticrangefrequencymetercountingperiodicmeasurement-21-目录一、设计要求-2-二、系统设计-3-三、单元模块设计-3-基准分频模块:-3-被测信号2分频:-4-测频计数模块:-4-自动量程频率计:-5-手动量程频率计模块:-6-测频计数模块:-7-数码管动态显示模块:-7-计数模块:-8-周期测量模块:-9-四、仿真与调试-11-五、优化与约束-13-六、协同与分工-13-七、心得体会(总结)-13-八、参考文献-14-九、附录-14--21-一、设计要求自动量程频率计设计一个

6、3位十进制频率计,分三个基本量程:1kHz、10kHz、100kHz(读数对应.999、9.99、99.9,单位kHz),量程自动或手动转换。量程自动或手动转换规则:1、当读数大于999时,处于超量程状态,显示器发出溢出指示(最高位显示F),下一测量周期量程增加一档;2、当读数小于90时,处于欠量程状态,显示器发出欠量程指示(最高位显示L),下一测量周期量程减小一档;超欠量程时时声音提示。频率计同时具有计数功能,计数范围为0~9999999,由显示计数值时,最高位显示C;超出计数范围时,显示CF。要求:测量周期固定为500ms,3个“量程选择”键、1个“手动/自动量程”模式选择建和1个“频率/

7、计数”显示模式选择键以及1个“计数清零”键;量程模式状态、显示模式状态、量程状态分别由LED指示。发挥:信号周期测量、占空比测量等。二、系统设计基准信号选择4.19MHz频率的方波,通过分频可产生系统所需信号,数码管使用8个,动态扫描显示,可显示频率值、计数值、周期值。一个开关控制频率/计数功能切换,一个控制自动或手动量程功能,一个开关控制信号周期的显示。三个按钮可在手动量程是切换量程范围。五个L

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。