刘艳萍_第4章习题答案

刘艳萍_第4章习题答案

ID:33968487

大小:448.00 KB

页数:23页

时间:2019-03-02

刘艳萍_第4章习题答案_第1页
刘艳萍_第4章习题答案_第2页
刘艳萍_第4章习题答案_第3页
刘艳萍_第4章习题答案_第4页
刘艳萍_第4章习题答案_第5页
资源描述:

《刘艳萍_第4章习题答案》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、第四章习题(参考答案)4-3:(1)LIBRARYieee;USEieee.std_logic_1164.all;USEieee.std_logic_arith.all;ENTITYcounterISGENERIC(max_value:integer:=15);PORT(clk,clr,en,load,dir:instd_logic;data_in:inintegerrange0tomax_value;Count:outintegerrange0tomax_value;co:outstd_logic);ENDcounter;ARCHITECTUREaOFcounter

2、ISsignalcnt:integerrange0tomax_value;BEGINPROCESS(clr,clk)BEGINifclr=’0’thencnt<=0;elsif(clk’eventandclk='1')thenifload='1'thencnt<=data_in;elsifen='1'thenifdir='1'thenifcnt=max_valuethencnt<=0;elsecnt<=cnt+1;endif;elseifcnt=0thencnt<=max_value;elsecnt<=cnt-1;endif;endif;endif;endif;END

3、PROCESS;count<=cnt;Co<=‘1’when(cnt=max_valueanddir=‘1’)or(cnt=0anddir=‘0’)else‘0’;Enda;(2)计数结果由共阴极七段数码管显示LIBRARYieee;USEieee.std_logic_1164.all;USEieee.std_logic_arith.all;ENTITYcounterISGENERIC(max_value:integer:=15);PORT(clk,clr,en,load,dir:instd_logic;data_in:inintegerrange0tomax_val

4、ue;Count:outstd_logic_vector(0to6);co:outstd_logic);ENDcounter;ARCHITECTUREaOFcounterISsignalcnt:integerrange0tomax_value;BEGINPROCESS(clr,clk)BEGINifclr=’0’thencnt<=0;elsif(clk’eventandclk='1')thenifload='1'thencnt<=data_in;elsifen='1'thenifdir='1'thenifcnt=max_valuethencnt<=0;elsecnt<

5、=cnt+1;endif;elseifcnt=0thencnt<=max_value;elsecnt<=cnt-1;endif;endif;endif;endif;ENDPROCESS;Co<=‘1’when(cnt=max_valueanddir=‘1’)or(cnt=0anddir=‘0’)else‘0’;withcntselectcount<=“"when1,--1“"when2,--2“"when3,--3“"when4,--4“"when5,--5“"when6,--6“"when7,--7“"when8,--8“"when9,--9:“”when15,--

6、F“"whenothers;Enda;(3)结果显示为十进制数LIBRARYieee;USEieee.std_logic_1164.all;USEieee.std_logic_arith.all;ENTITYcounterISGENERIC(max_value:integer:=15);PORT(clk,clk1,clr,en,load,dir:instd_logic;data_in:inintegerrange0tomax_value;Count:outstd_logic_vector(0to6);Scanout:outstd_logic_vector(0to1);

7、co:outstd_logic);ENDcounter;ARCHITECTUREaOFcounterISsignalcnt:integerrange0tomax_value;signalcnt1:integerrange0to9;signalcnt2:integerrange0to1;signalhex:integerrange0to9;signalscan:std_logic_vector(0to1);BEGINPROCESS(clr,clk)BEGINifclr=‘0’thencnt<=0;elsif(clk’eventandclk='1')th

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。