基于vhdl的数字频率计的设计new

基于vhdl的数字频率计的设计new

ID:33818563

大小:423.92 KB

页数:3页

时间:2019-02-28

基于vhdl的数字频率计的设计new_第1页
基于vhdl的数字频率计的设计new_第2页
基于vhdl的数字频率计的设计new_第3页
资源描述:

《基于vhdl的数字频率计的设计new》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、长江大学学报(自然科学版)2008年3月第5卷第1期:理工·266·JournalofYangtzeUniversity(NatSciEdit)Mar畅2008,Vol畅5No畅1:Sci&Eng基于VHDL的数字频率计的设计熊亚梅(长江大学电子信息学院,湖北荆州434023)[摘要]使用VHDL语言来设计数字频率计,给出了原理图和仿真图形,所设计的电路通过硬件仿真,下载到目标器件上运行,能够满足测量频率的要求,具有理论与实践意义,实现了电子电路自动化(EDA)的过程。[关键词]VHDL;EDA;仿真;FPGA;频率计

2、[中图分类号]TP312[文献标识码]A[文章编号]16731409(2008)01N26603[1]EDA技术就是以计算机为工具,在EDA软件平台上,根据硬件描述语言VHDL完成的设计文件,自动地完成逻辑编译、化简、分割、综合、优化、布局线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。设计者的工作仅限于利用软件的方式来完成对系统硬件功能的描述,[1]在EDA工具的帮助下和应用相应的FPGA/CPLD器件,就可以得到最后的设计结果。笔者使用[2][3]MAX+PLUSII这个软件来完成频率计的设计,

3、采用自顶向下的设计方式,每个模块用VHDL语[4]言编程设计。1频率计设计原理1畅1频率计的组成部分频率计主要由5个部分组成:测频控制信号发生器testcti、6个有时钟使能的十进制计数器cnt、[5]1个锁存器reg32b、显示模块deled和控制显示模块teltime。数字频率计的框图如图1所示。图1数字频率计的原理框图1畅2频率计工作原理数字频率计是直接用十进制数字来显示被测信号频率的一种测量装置。所谓频率,就是周期性信号在单位时间(1s)里变化的次数。若在一定时间间隔T内测得的这个周期性信号的重复变化次数N,则

4、其频率可表示为f=N/T。频率测量的基本原理是计算每秒钟内待测信号的脉冲个数畅测频的基本原理要求testcti的计数使能信号tsten能产生一个1s脉宽的周期信号,并对频率计的每一个计数器cnt的使能端进行同步控制。当tsten为高电平时允许计数,为低电平时停止计数,并保持其所计脉冲个数。在停止计数期间,首先需要一个锁存信号load的上跳沿将计数器在前1s的计数值锁存进reg32b中,并由外部的7段译码器译出,并稳定显示。锁存信号之后,必须有一个清零信号对计数器进行清零,为下1s的技术操作做准备。测频控制信号发生器的工

5、作时序图如图2所示。其中控制信号频率始终为1Hz,那么信号tsten的脉宽正好为1s,可以用作技术闸门信号。然后根据测频的时序要求,可得出信号load和清零信号clr_cnt的逻辑描述。计数完成后,利用技术使能信号[收稿日期]20071223[作者简介]熊亚梅(1982),女,2003年大学毕业,助教,现主要从事实验室教学及管理工作。第5卷第1期:理工熊亚梅:基于VHDL的数字频率计的设计·267·反向值的上跳沿产生一个锁存信号load。0畅5s后,clr_cnt产生一个清零信号上跳沿。计数器cnt的特殊之处是,有一时

6、钟使能输入端ena,用于锁存计数值。当高电平时计数允许,低电平时计数禁止。锁存器的设计要求:若已有24位BCD码存于此模块的输入口,在信号load的上跳沿后即被锁存到寄存器reg32b内部,并由reg32b的输出端输出,然后有实验箱上7段译码器译成能在数码管上显示输出的相应数值。图2测频控制信号发生器工作时序图1畅3频率计设计总图介绍总图中包含有5个模块:计数模块cnt,测频控制信号模块testcti,锁存器模块reg32b,显示模块deled,控制显示模块teltime,其中各模块的连接如图3所示。如果想频率计的测量

7、位数增加或减小,可适应的增加或者减少计数器cnt的个数,其他模块的参数相应的修改,就可使测量范围增大或缩小。图3频率计设计总图·268·长江大学学报(自然科学版)2008年3月2频率计仿真图4为频率计仿真结果,其中clk为1Hz标准时钟信号,flyin为待测信号,可以为任意值。现设定的待测频率为50Hz,仿真结果也为50Hz,仿真结果正确,说明该频率计设计方案正确。图4仿真结果图还可以将用VHDL编写的数字频率计下载到硬件实验箱上进行实现,启动MaxplusII软件,将实验箱拨码开关AS1的1档拨向上,使数码管动态显示

8、。开启电源后,将时钟信号clk设置为1Hz,待测频率从时钟源TJ4~TJ6输入一方波信号,输入输出管脚进行适当的锁定,结果频率计可正常运行。如从TJ4~TJ6中选择的待测频率为32768Hz,实验箱上数码管显示器显示的也是32768Hz,频率计显示数据正确,说明了频率计设计成功。3结语该次用VHDL来对数字频率计进行设计,比较与用

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。