实验三组合电路设计(一)(可编程实验)

实验三组合电路设计(一)(可编程实验)

ID:33037656

大小:80.61 KB

页数:4页

时间:2019-02-19

实验三组合电路设计(一)(可编程实验)_第1页
实验三组合电路设计(一)(可编程实验)_第2页
实验三组合电路设计(一)(可编程实验)_第3页
实验三组合电路设计(一)(可编程实验)_第4页
资源描述:

《实验三组合电路设计(一)(可编程实验)》由会员上传分享,免费在线阅读,更多相关内容在工程资料-天天文库

1、实验三组合电路设计(一)一.实验目的1、掌握设计逻辑组合电路的方法。2、学会利用软件仿真和硕件实现对数字电路的逻辑功能进行验证和分析。3、能够学会通过CPLD开发实现组合逻辑电路的功能。二.实验内容(1)多数表决器电路设计要求:a.当输入A,B,C,D有3个或3个以上为1时,输岀F为1,输入为其他状态是输出为0。b.写岀实验内容的真值表及逻辑表达式。c.用MAX+plus2进行仿真。d.将编好的程序下载到CPLD芯片里,观测结果。(2)代码转换电路设计要求:a.输入为8421BCD,输出为余3码。b.写出实验内容及代码转换表,写出逻辑表达式。c.用

2、MAX+plus2进行仿真。d.将编好的程序下载到CPLD芯片里,观测结果。三.实验逻辑功能分析及预习情况(1)多数表决器电路设计①列真值表如下:输入输出DCBAF00000000100010000110010000101001100011111000010010101001011111000110111110111111②、根据真值表列岀逻辑表达式F二DBA+CBA+DCB+DCA;(2)代码转换电路设计①列真值表如下:数字输入(8421BCD码)输岀(余3码)X3X2XIX0Y3Y2Y1Y0000000011100010100200100101

3、300110110401000111501011000601101001701111010810001011910011100四、实验过程(1)启动MAX+plusII软件;(2)创建一个新工程;1)多数表决器电路设计①启动文木编译器;②编译VHDL语言程序为Libraryieee;Useieee.std_logic_l164.all;EntityxuanzeqiisPort(A,B,C,D:instd_logic;F:outstd_logic);End;Architecturerelofxuanzeqiissignalsl,s2,s3,s4:st

4、d_logic;Beginsl<=AandBandC;s2<=AandBandD;s3v=BandCandD;s4<=AandCandD;F<=slors2ors3ors4;End;③启动波形图编译器;Pxuanxeqi.scfhveforiEditorRM0Chs•[<]Time血m]Mewl[OOns④时间分析图FQ・6.OmsD6.OtnsC6.OrnsD6.OosHzLnzLjhluAjmalyzojcD&IstyhzlettrixOestin^tionWpr<]jB'op]⑤利用真值表验证所设电路的逻辑功能;⑥经过验证保存仿真原理图。2)

5、代码转换电路设计①启动文本编译器;②编译VHDL语言程序为Libraryieee;Useieee.std_logic_l164.all;EntityyusanmaisPort(a:instd_logic_vector(3downto0);y:outstd_logic_vector(3downto0));End;Architecturerel_lofyusanmaisBeginprocess(a)Begincaseaiswhenn0000H=>y<=n0000H;whenn000r,=>y<=n000r,;whenn0010n=>y<=H0010";

6、when”001I”=>yv=”0011”;whenn0100n=>y<=n0100H;whenn010r,=>y<=n010r,;whenn0110u=>y<=n0110n;when”0111”=>yv=”0111”;whenn1000n=>y<=u1000n;whenn100r,=>y<=n100r,;whenothers=>y<="ZZZZn;endcase;endprocess;End;②启动波形图编译器;ProBnBLvef-VavefaraEiitor④吋间分析图*PIN002yOyiy2y3aOG.Orwal9.5ns6.0ns/14

7、.7n«6.0H8/14.7ns14.7ns6.0r»s/14.7nsa29.5n$6.0m/14.7n314.7r«6.On^/14.7ne6.g/U.7w

8、a395m60g/147ru60h"147g60n$/1460r«/14.7n«4C*TlBxxigAxiiilyxeKDelayMatrixDeotinationat⑤利用真值表验证所设电路的逻辑功能;⑥经过验证保存仿真原理图。五.实验感受通过本次实验我掌握了设计逻辑组合电路的方法。同时我更加熟悉了利用软件仿真和硕件实现对数字电路的逻辑功能进行验证和分析。使我对MAX+plusII有了进一

9、步的了解,通过本次实验我更加熟悉了此软件的基本操作方法。同时让我了解到硬件可以用软件来完成,此软件的模拟仿真给我们学习数字

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。