eda四路抢答器的课设报告

eda四路抢答器的课设报告

ID:32657776

大小:237.13 KB

页数:13页

时间:2019-02-14

eda四路抢答器的课设报告_第1页
eda四路抢答器的课设报告_第2页
eda四路抢答器的课设报告_第3页
eda四路抢答器的课设报告_第4页
eda四路抢答器的课设报告_第5页
资源描述:

《eda四路抢答器的课设报告》由会员上传分享,免费在线阅读,更多相关内容在工程资料-天天文库

1、《EDA技术综合设计》课程设计报告报告题目:四路竞赛抢答器的设计作者所在系部:电子工程系作者所在专业:自动化专业作者所在班级:作者姓名:指导教师姓名:完成时间:2010-11-30内容摘要抢答器是为智力竞赛参赛者答题时进行抢答而设计的一种优先判决器电路,它能准确、公止、直观地判断出抢答者。当主持人按下开始按键后,计时器开始二十秒倒计时,竞赛选手开始抢答。当有选手抢答后,锁存选手编号,再有其他选手抢答时不起作用,同时数码管显示选手编号,计时器停止计时,蜂鸣器响,报警。选手答完题后,主持人按下复位键复位,开始下一轮抢答。若无人抢答,计时器计时到零时,蜂鸣器响,报

2、警。因此要完成抢答器的逻辑功能,该电路应包括抢答鉴别模块、抢答计时模块、译码模块、报警模块。关键词:VHDL抢答鉴别封锁计数器报警课程设计任务书课题名称四路竞赛抢答器的设计完成时间2010/11/30指导教师职称学生姓名班级总体设计要求和技术要点设计要求:1.抢答器同时供4名选手或4个代表队比赛,分别用4个按钮SO〜S3表示。2.设置一个系统清除和抢答控制开关S,该开关由主持人控制。3.抢答器具有锁存与显示功能。即选手按动按钮,锁存相应的编号,并在LED数码管上显示,同时扬声器发出报警声响提示。选手抢答实行优先锁存,优先抢答选手的编号一直保持到主持人将系统清

3、除为止。4.抢答器具有定时抢答功能,且一次抢答的时间由主持人设定(如20秒)。当主持人启动“开始”键后,定时器进行减计时。5.如果定时时I'可已到,无人抢答,本次抢答无效,系统报警并禁止抢答,定时显示器上显示00。技术要点:1.实现锁存功能,计数器减计时并驱动数码管显示。2.总程序的编译、仿真、打包并下载到实验箱。3.用吋钟源分频出合适频率的吋钟信号。工作内容及时间进度安排第13周周一至周三:方案论证分析与设计周四至周五:软件程序的调试与仿真第14周周一:下载、调试硬件周二:验收答辩课程设计成果1.与设计内容对应的软件程序2.课程设计报告书一、课设目的1.通

4、过设计竞赛抢答器的实例来体会设计实际产品的过程,在学好理论的基础上,提高动手实践能力。2•学习怎样用vhdl语言来描述自己的设计思路,调试硬件后,能够使产品实现功能。3.进一步掌握用vhdl语言编写数字电路。4.在做课题过程中,掌握多位共阴极扫描显示数码管的驱动及编码,学会使用和调试硬件。二、概述抢答器的逻辑结构较简单,它主要由抢答鉴别模块、计时模块、数据选择模块和报警模块组成。在整个抢答器中最关键的是如何实现抢答封锁,在控制键按下的同时计数器倒计时显示有效剩余时间。此外,整个抢答器还需有一个使能信号和一个复位信号,以便抢答器能实现公平抢答和停止。抢答器共有

5、3个输出显示,选手代号、计数器的个位和十位,他们输出全都为BCD码输出,这样便于和显示译码器连接。当选手按下抢答键或倒计时到时蜂鸣器响起。三、方案设计与论证将该任务分成六个模块进行设计,分别为:抢答鉴别模块、抢答计吋模块、控制模块、译码模块、数据选择模块、报警模块,最后是用原理图输入的顶层文件。1•抢答鉴别模块:在这个模块中主要实现抢答过程中的抢答功能,并能对超前抢答进行警告,当有选手抢答时,选手编号被锁存,有报警信号,其他选手抢答无效。2.抢答计时模块:在这个模块中主要实现抢答过程中的计时功能,在有抢答使能信号后计时器进行20秒倒计时,并H在20秒倒计时结

6、束后无人抢答时显示00并报警。3.数据选择模块:在这个模块屮主要实现抢答过程屮的数据输入功能,实现选手编号、计数器的十位、个位按脉冲轮流选通,以便在数码管上显示。4.报警模块:在这个模块中主要实现抢答过程中的报警功能,当主持人按下控制键,有效时间内有人抢答或是计时到时后蜂鸣器开始报警。5.译码模块:在这个模块屮主要实现抢答过程屮将BCI)码转换成7段的功能,以便驱动共阴极数码管。6.控制模块:在这个模块屮主要实现有选手抢答或计时结束后,计时器停止计时。7•顶层文件:调用上述的六个模块,用原理图输入法设计的顶层文件。四、单元电路设计1.抢答鉴别模块librar

7、yieee;useieee.std」ogic_1164.all;useieee.std_logic_unsigned.all;entityqdjblisport(rst,clk2:instd」ogic;s0,s1,s2,s3:instd」ogic;states:bufferstd_logic_vector(3downto0);tmp:outstd」ogic);endqdjbl;architectureoneofqdjblissignalst:std」ogic_vector(3downto0);beginp1:process(s0,rst,s1,s2,s3,c

8、lk2)beginifrst=,O,thentmp<

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。