fpga verilog pid控制

fpga verilog pid控制

ID:31920777

大小:532.00 KB

页数:10页

时间:2019-01-27

fpga verilog pid控制_第1页
fpga verilog pid控制_第2页
fpga verilog pid控制_第3页
fpga verilog pid控制_第4页
fpga verilog pid控制_第5页
资源描述:

《fpga verilog pid控制》由会员上传分享,免费在线阅读,更多相关内容在应用文档-天天文库

1、PID算法及其FPGA实现PID控制器结构清晰,参数可调,适用于各种控制对象,PID控制器的核心思想是针对控制对象的控制需求,建立描述对象动态特性的数学模型,通过PID参数整定实现在比例,微分,积分三个方面参数调整的控制策略来达到最佳系统响应和控制效果,式子如下:在数字控制系统中,PID控制规律的实现必须用数值逼近的方法。当采样周期相当时,用求和代替积分、用后向差分代替微分,使模拟PID离散化变为差分方程。式子3.8就是我们的位置式PID算法:下面就是我们要实现上式PID算法。PID的FPGA实现:得到:Verilog实现:viewplaincopytocl

2、ipboardprint?.`timescale 1ns / 1ps  .//////////////////////////////////////////////////////////////////////////////////  .// Company:   .// Engineer:   .//   .// Create Date:    21:02:51 05/14/2014   .// Design Name:   .// Module Name:    pid   .// Project Name:   .// Target Device

3、s:   .// Tool versions:   .// Description:   .//  .// Dependencies:   .//  .// Revision:   .// Revision 0.01 - File Created  .// Additional Comments:   .//  .//////////////////////////////////////////////////////////////////////////////////  .module pid(  .    input clk,  .    inpu

4、t rst_n,  .    input [8:0] error,  .    output reg [16:0] uk  .    );  .  .//reg  [16:0]uk;  .wire [16:0]uk_wire;       .reg [8:0]error_1,error_2;  .parameter k0=5;  .parameter k1=1;  .parameter k2=1;  .always @(posedge clk)  .begin  .    if(!rst_n)  .        begin  .            er

5、ror_1<=0;  .            error_2<=0;  .        end  .    else  .        begin  .            error_1<=error;  .            error_2<=error_1;  .        end  .end  .  .//  .reg [14:0]uk1;  .always @(posedge clk)  .begin  .    if(!rst_n)  .        begin  .            uk<=0;  .          

6、  uk1<=0;  .        end  .    else  .        begin  .            if((uk_wire>17'd15000)&&(uk_wire<17'b1000_0000_0000_00000))  .                begin  .                    uk<=17'd15000;  .                end  .            else  .                    begin  .                        u

7、k1<=uk[14:0];  .                        uk<=uk_wire;  .                    end   .        end  .end  .  .wire [14:0] p0;  .mult            u1 (  .                        .b ( k0 ),  .                        .a ( error ),  .                        .p ( p0 ),  .                      

8、  .clk(clk)  .            

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。