vhdl语言与fpga设计实验报告

vhdl语言与fpga设计实验报告

ID:31727022

大小:654.60 KB

页数:32页

时间:2019-01-17

vhdl语言与fpga设计实验报告_第1页
vhdl语言与fpga设计实验报告_第2页
vhdl语言与fpga设计实验报告_第3页
vhdl语言与fpga设计实验报告_第4页
vhdl语言与fpga设计实验报告_第5页
资源描述:

《vhdl语言与fpga设计实验报告》由会员上传分享,免费在线阅读,更多相关内容在工程资料-天天文库

1、VHDL语言与FPGA设计实验报告学院=班级:姓名:学号:指导老师:常州工学院实验1:2选1多路选择器设计一、实验目的:熟悉QuartusII的VHDL文本设计流程全过程,学习简单组合电路的设计、仿真和硬件测试。二、实验内容:1•首先利用QuartusII完成2选1多路选择器的文本编辑输入(mux21a.vhd)和仿真测试等步骤,给出仿真波形。最后在实验系统上进行硬件测试,验证本项设计的功能。2.引脚锁定以及硕件下载测试。建议选实验电路模式No.5,用键l(PIOO)控制s(或s接clock2);a和b分别接clockO和clock5;输出信号y接扬声器speakero通过短路帽选

2、择clockO接256Hz信号,clocks接1024Hz。最后进行编译、下载和便件测试实验(通过选择键1,控制a、b,可使扬声器输出不同音调)。逻辑电路图三、程序设计:libraryieee;-・useieee.std_logic_l164.all;-ENTITYmux21aISPORT(a,b,s:INBIT;y:OUTBIT);ENDENTITYmux21a;ARCHITECTUREoneOFmux21aISBEGINPROCESS(a,b,s)BEGINIFs=OTHENy<=a;ELSEy<二b;ENDIF;ENDPROCESS;ENDARCHITECTUREone;程序

3、分析:这是一个2选1多路选择器,a和b分别为两个数字输入端的端口名,s为通道选择控制信号输入端的端口名,y为输岀端的端口名。四、软件编译选择Peocessing^StartCompilation命令,启动全程编译。FlowSummaryFlewStatusSuccessful-NonD«c0720:36:562015QuartusHVersion6.0Build17804/27/2006SJFullVersionRevisionNaniei*ux21aTop-levelEntityFaneniux21&FamilyCycloneDeviceEP1C6Q240C8Timinglode

4、lsFindMettimingrequirementsYesTotallogicelements1/5,980(<1%)Totalpins4/185(2%)Totalvirtualpins0Totdmeiiorybits0/92,160(0%)TotalPLLs0/2(0%)编译无错后的报告信息五、时序仿真1)打开波形编辑器选择File・>new,选择vectorwaveformfile。2)设定仿真时间区域在Edit->endtime,在弹出的窗口time栏处输入50,单位选"us”。3)波形文件存盘,默认文件名为mux21a.vwf4)将此工程mux21a的端口信号名选入波形编

5、辑器中View->utilitywindows项的NodeFinder选项。点击“list”。5)将端口信号名拖入波形编辑器屮,拖完信号后可以关掉浮动窗口6)按键盘上“CTRL+W”,显示全部仿真时间区域。7)编辑输入波形(输入激励信号)8)仿真器参数设置9)启动仿真器。提示是否保存,选择“是”,仿真成功后选“确定”。10)观察仿真结果按键盘上“CTRL+W”,在全部仿真时间区域内观察波形,并分析波形图显示的逻辑功能是否正确。电路时序波形图时序分析:当s=0时,y口输出Q,当s=l时,y口输出b。六、硕件测试打开mux21a命名的工程,应选择File的OpenProject命令。选

6、择Assignments->assingnmenteditor项。Category栏中选择locations,然后双击TO栏的《new》,选择NodeFindero在出现的对话框左边框中选择需要锁定的端口信号名,这些信号跳到右栏,单击OK按键后,这些信号名即进入信号编辑栏。接着在表框屮分别键入需要锁定的端口引脚名。锁定引脚后,必须重新编译,启动Processing->StartCcomplication,编译完成后可下载配置文件。引脚锁定图使用USB编程器下载配置文件首先安装USB编程器的驱动程序。选择自己搜索驱动程序。备注:若没有正确安装驱动程序,USBBlaster编程器不可选

7、,这时必须到硬件设备管理器中删除打问号的USB驱动程序,重新正确安装。将编译产牛的SOF格式配置文件配置进FPGA中。在MODE选择JTAG。编程器选择USBBlaster下载方式。点击“start”将配置文件下载,然后进行硬件测试。程序下载完成后,选择实验电路模式5,通过短路帽选择clockO接256IIz信号,clock5接1024Hz信号。通过键一控制s,当键1进行切换时,明显能听到扬声器发出两种不同音调的声音。实验2:十进制计数器设计一、实验目的学习计数器的设

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。