基于alterafpga的千兆以太网实现方案

基于alterafpga的千兆以太网实现方案

ID:30805427

大小:405.82 KB

页数:6页

时间:2019-01-03

基于alterafpga的千兆以太网实现方案_第1页
基于alterafpga的千兆以太网实现方案_第2页
基于alterafpga的千兆以太网实现方案_第3页
基于alterafpga的千兆以太网实现方案_第4页
基于alterafpga的千兆以太网实现方案_第5页
资源描述:

《基于alterafpga的千兆以太网实现方案》由会员上传分享,免费在线阅读,更多相关内容在工程资料-天天文库

1、基于AlteraFPGA的千兆以太网实现方案1引言在系统设备不断向小型化、集成化、网络化发展的今天,嵌入式开发成为新技术发展的最前沿,改变着系统的整体结构oFPGA由于其自少特点,成为嵌入式开发的最佳平台。Algra公司结介其最新一代高端器件推出了全新的嵌入式开发系统,能够实现软核niosII32位处理器为核心的嵌入式开发系统。在CvclonelI屮,Altera集成了完整的千兆以太网硬核,硬核包括MAC模块以及可选择的物理层PCS模块和PMA模块,其中MAC模块支持10/100/1000Mb/soAlt

2、era的SOPCBuilderT.具提供快速搭建SOPC系统的能力,这种架构可以包含一个或多个型,提供存储器接口,外围设备和系统互连逻辑的复杂系统。2千兆以太网技术简介以太网技术是当今应用广泛的网络技术,千兆以太网技术继承了以往以太网技术的许多优点,同吋又具有诸多新特性,例如传输介质包括光纤和铜缆,使用8B/10B的编解码方案,采用载波扩展和分组突发技术等。正是因为具有良好的继承性和许多优秀的新特性,T•兆以太网己经成为目前局域网的主流解决方案。T兆以太网利用原以太网标准所规定的全部技术规范,其屮包括CS

3、MA/CD协议、以太网帧、全双工、流量控制以及IEEE802.3标准中所定义的管理对象。千兆以太网的关键技术是千兆以太网的MAC层和以太网接口的实现。随着多媒体应用的普及,干兆以太网必然得到广泛应用。3Altera的千兆以太网解决方案3.1IP核的支持Altera提供了可参数化的T兆以太网megacore解决方案。该方案可在Altera的ArriaGX,Cyclonell,CycloneIII系列FPGA.上工作,可配置使其包含MAC,PCS,PMA模块中的一种或多种,配置选择及相应的接口标准。千兆以太网

4、IP核的功能描述如下:(1)支持IEEE802.3标准。(2)10/100/1000Mb,s以太网媒体访问控制支持半双工和全双工工作模式。(3)多通道MAC,支持最多24端口。(4)以太网物理层编码子层1000BASE-X/SGMII标准的自协商。(5)接口使用方便。对于千兆以太网控制器的实现,采用表第1行的配置。吉比特级以太网媒体控制器核(GEMAC)是针对1Gb/s以人网媒体访问控制器功能的可参数化的mcgacorc解决方案。3.2基于FPGA的千兆以太网MAC控制器实现方案3.2.1整体设计方案以太

5、网控制器的FPGA设计工作包括以太网MAC子层的FPGA设计,MAC子层与上层协议的接口设计以及MAC与物理层(PIIY)的GMII接口设计。该以太网控制器的总体结构设计框图如图1所示,整个系统分为MAC模块,主机接口模块和管理数据输入输出模块。其中,MAC模块主要执行在全双丄模式下的流量控制,MAC帧实现发送和接收功能,其主耍操作有MAC帧的封装与解包以及错误检测,直接提供了到外部物理层器件的并行数据接口,物理层处理百接利用商用千兆PIIY器件,主耍开发集中在MAC控制器的研究。图1千兆以太网设计框图管

6、理数据输入输出模块提供了标准的IEEE802.3介质独立接口,可用于连接以太网的琏路层和物理层。主机接口则提供以太网控制器与上层协议(如TCP/IP协议)之间的接口,用于数据的发送、接收以及对控制器内各种寄存器的设置。3.2.2接口描述整个系统模块间的接口连接如图2所示。其中,PCS和PMA分别代表物理层的物理编码了层和物理介质接入层。系统端接:主机接口GMn接口■1000BASEX/SGMnPCS模块MA块PM模TB1接口11.25GHz串行数据10/100/1000Mb/s以太网MAC模块图2各模块间

7、接口连接⑴通过Mil/GMII接口提供了与以太网物理层(PHY)设备的无缝连接。(2)吉比特模式K支持RGM11接口。(3)nJ'选择的管理数据输入/输出模块为以A网PHY提供管理信息。(4)为用户提供基于Aalon—ST的8bit/32bit接口。(5)可选择的集成物理介质介入模块。3.2.3千兆以太网IP核Altera提供三态以太网MAC控制器IP核,对实现单条或多条吉比特以太网链路,通过交她或路山器可与任意以太网端口相连。其配置界面如图3所示。0"维库图3千兆以太网IP核配置整个配置界面可将IP核配

8、置为所需模式并进行IP核参数设置,将IP核设置为千兆以太网MAC模块,内部提供FI-FO模块。可选的PCS模块由PIIY器件提供,这个界面分为4个配置页面,描述如下:CoreConfiguration:核配置选项,配置以太网功能模块,是否包含PCS模块、FIFO模块,配置接口类型、端口数等;MACOptions:MAC配置选项,配置MAC模块功能;FIFOOptions:FIFO存储器选项,可设置FIFO存储器类型以及存储器数

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。