fsk综合设计实验教材

fsk综合设计实验教材

ID:30744524

大小:1.45 MB

页数:13页

时间:2019-01-03

fsk综合设计实验教材_第1页
fsk综合设计实验教材_第2页
fsk综合设计实验教材_第3页
fsk综合设计实验教材_第4页
fsk综合设计实验教材_第5页
资源描述:

《fsk综合设计实验教材》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、.实验九综合设计性实验------二进制频移键控(FSK)调制与解调频移键控(FSK)是用不同频率的载波来传送数字信号,并用数字基带信号控制载波信号的频率。二进制频移键控是用两个不同频率的载波来代表数字信号的两种电平。接收端收到不同的载波信号再进行逆变换成为数字信号,完成信息传输过程。8.1FSK信号的产生FSK信号的产生有两种方法,直接调频法和频率键控法。1.直接调频法直接调频法是用数字基带信号直接控制载频振荡器的振荡频率。直接调频法实现电路有许多,一般采用的控制方法是:当基带信号为正时(相当于“1”码),改变振荡器谐振回路的参数(电容或者电感数值),使振荡器的振荡频率提高(设为f1);当

2、基带信号为负时(相当于“0”码),改变振荡器谐振回路的参数(电容或者电感数值),使振荡器的振荡频率降低(设为f2);从而实现了调频,这种方法产生的调频信号是相位连续的。虽然实现方法简单,但频率稳定度不高,同时频率转换速度不能太快。2.频率键控法频率键控法也称频率选择法,图8.1是它实现的原理框图。它有两个独立的振荡器,数字基带信号控制转换开关,选择不同频率的高频振荡信号实现FSK调制。频率键控法产生的FSK信号频率稳定度可以做得很高并且没有过渡频率,它的转换速度快,波形好。频率键控法在转换开关发生转换的瞬间,两个高频振荡的输出电压通常不可能相等,于是uFSK(t)信号在基带信息变换时电压会发

3、生跳变,这种现象也称为相位不连续,这是频率键控特有的情况。图8-1频率键控法的原理框图图8-2是利用两个独立分频器,以频率键控法来实现FSK调制的原理电路图。在图8-1中,与非门3和4起到了转换开关的作用。当数字基带信号为“1”时,与非门4打开,f1输出,当数字基带信号为“0”时,与非门3打开,f2输出,从而实现了FSK调制。图8-2利用独立分频器的键控法实现FSK调制频率键控法也常常利用数字基带信号去控制可变分频器的分频比来改变输出载波频率,从而实现FSK调制。图8-3是一个11/13可控分频器原理图。当数字基带信号为“1”...时,第四级双稳态电路输出的反馈脉冲被加到第一级和第二级双稳态

4、电路上,此时分频比为13;当基带信号为“0”时,第四级双稳态电路输出的反馈脉冲被加到第一级和第三级双稳态电路上,分频比变为11。由于分频比的改变,使输出信号频率发生变化,从而实现了FSK调制。采用可变分频器产生的FSK信号相位通常是连续的,因此在基带信息变化时,FSK信号会出现过渡频率。为减小过渡时间,可变分频器应工作于较高的频率,并在可变分频器后再插入固定分频器,使输出频率满足FSK信号要求的频率。图8-3利用可控分频器实现FSK调制FSK信号有相位不连续和相位连续两种情况,相位不连续的FSK信号可以视为两个频率分别为/1和/2的ASK信号的叠加,如图8-4所示。图8-4相位不连续的FSK

5、信号可视为两个不同频率的ASK信号叠加...8.2基于VHDl硬件描述语言的FSK频率键控法调制程序设计1.FSK调制的建模方框图及电路符号FSK调制方框图如图8-5所示,FSK调制电路的VHDL建模符号如图8-6所示。有了ASK调制的建模方法基础,再根据FSK调制原理,对FSK信号产生的建模框图就容易理解了。FSK调制的核心部分包括分频器、二选一选通开关等。图8-5中的两个分频器分别产生两路数字载波信号;二选一选通开关的作用是:以基带信号作为控制信号,当基带信号为“0”,选通载波f1;当基带信号为“1”时,选通载波f2从选通开关输出的信号就是数字FSK信号。图中没有包含模拟电路部分,调制信

6、号为数字信号。图8-5FSK调制方框图图8-6FSK调制电路的VHDL建模符号2.FSK调制VHDL程序及仿真——文件名:PL_FSK——功能:基于VHDL硬件描述语言,对基带信号进行FSK调制libraryieee;useieee.std_logic_arith.all;useieee.std_logic_1164.a11;useieee.std_logic_unsigned.all;entityPL_FSKisport(clk:instd_logic;——系统时钟start:instd_logic;——开始调制信号x:instdlogic;——基带信号y:outstd_logic);—

7、—调制信号endPL_FSK;architecturebehaveofPL_FSKissignalql:integerrange0to11;——载波信号n的分频计数器signalq2:integerrange0to3;——载波信号n的分频计数器signalf1,f2:std_logic;——载波信号f1,f2beginprocess(clk)——此进程通过对系统时钟clk的分频,得到载波f1beginifcl

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。