浅谈企业内部审计_论

浅谈企业内部审计_论

ID:29792210

大小:162.68 KB

页数:10页

时间:2018-12-23

浅谈企业内部审计_论_第1页
浅谈企业内部审计_论_第2页
浅谈企业内部审计_论_第3页
浅谈企业内部审计_论_第4页
浅谈企业内部审计_论_第5页
资源描述:

《浅谈企业内部审计_论》由会员上传分享,免费在线阅读,更多相关内容在应用文档-天天文库

1、摘要本文介绍了一种采用单片FPGA芯片进行出租车计费器的设计方法,主要阐述如何使用新兴的EDA器件取代传统的电子设计方法,利用FPGA的可编程性,简洁而又多变的设计方法,缩短了研发周期,同时使出租车计费器体积更小功能更强大。本设计不仅实现了出租车计费器所需的一些基本功能,同时考虑到出租车行业的一些特殊性,更注重了把一些新的思路加入到设计中。主要包括采用了FPGA芯片,使用VHDL语言进行编程,使其具有了更强的移植性,更加利于产品升级。此外,VHDL就是超高速集成电路硬件描述语言。覆盖面广,描述能力强,是一个多层次

2、的硬件描述语言。在VHDL语言中,设计的原始描述可以非常简练,经过层层加强后,最终可成为直接付诸生产的电路或版图参数描述。具有良好的可读性,即容易被计算机接受,也容易被读者理解。使用期长,不会因工艺变化而使描述过时。因为VHDL的硬件描述与工艺无关,当工艺改变时,只需修改相应程序中的属性参数即可。支持大规模设计的分解和已有设计的再利用。一个大规模的设计不可能由一个人独立完成,必须由多人共同承担,VHDL为设计的分解和设计的再利用提供了有力的支持。关键词:出租车计费器,FPGA,VHDL语言,多功能目录第一章引言.

3、...............................................1第一节设计背景..................................................1第二节出租车记费器设计要求......................................1第二章出租车计费系统的设计思想...............................2第三章出租车计费系统的实现..................................4第一节出租车

4、系统的总体框图设计...................................4第二节计费设定系统原理图.........................................6第四章系统模块的设计........................................7第一节启动停止按键模块...........................................710第二节启动停止显示模块.........................................

5、..8第三节计时模块...................................................8第四节计程模块...................................................9第五节计程计时选通模块...........................................9第六节计程计时显示输出模块......................................10第七节总额计算模块.....................

6、.........................10结束语......................................................12谢辞.......................................................13参考文献....................................................14第一章引言第一节设计背景随着出租车行业的发展,对出租车计费器的要求也越来越高。最近几年出租车行业发展迅速,在全国

7、有几千家出租车公司,因此出租车计费器的市场是庞大的。由于受到油价影响,各大城市都推出了运价油价联动机制,所以出租车计费器记价标准的灵活设定成为未来计费器不可缺少的一部分。现在的计费器都没有把灵活设定计价标准的功能加入其中,可靠统计每台计费器更换标准以及调试所需时间为1小时。常用件描述语言有ABEL,VHDL语言等,其中ABEL是一种简单的硬件描述语言,其支持布尔方程、真值表、状态机等逻辑描述,适用于计数器、译码器、运算电路、比较器等逻辑功能的描述;VHDL语言是一种行为描述语言,其编程结构类似于计算机中的C语言,

8、在描述复杂逻辑设计时,非常简洁,具有很强的逻辑描述和仿真能力,是未来硬件设计语言的主流。第二节出租车记费器设计要求10一个出租车计价器,该计价器的计费系统:行程3公里内,且等待累计时间2分钟内,起步费为8元;3公里外以每公里1.8元计费,等待累计时间2分钟外以每分钟1.5元计费。并能显示行驶公里数、等待累计时间、总费用。计费及显示(1)用二位数字显示里程,显示格式为XX,

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。