基于vhdl语言成功数字钟源程序设计说明书

基于vhdl语言成功数字钟源程序设计说明书

ID:29472379

大小:106.21 KB

页数:36页

时间:2018-12-20

基于vhdl语言成功数字钟源程序设计说明书_第1页
基于vhdl语言成功数字钟源程序设计说明书_第2页
基于vhdl语言成功数字钟源程序设计说明书_第3页
基于vhdl语言成功数字钟源程序设计说明书_第4页
基于vhdl语言成功数字钟源程序设计说明书_第5页
资源描述:

《基于vhdl语言成功数字钟源程序设计说明书》由会员上传分享,免费在线阅读,更多相关内容在应用文档-天天文库

1、libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entitycount2isport(clk:instd_logic;output:outstd_logic_vector(2downto0));end;architectureshiofcount2issignalA:std_logic_vector(2downto0);beginprocess(clk)beginifclk'eventandclk='1'thenifA="111"thenA<="000";elseA<=A+1;endif;endif;

2、endprocess;output<=A;end;libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entityfenpingisport(clock:instd_logic;Q:outstd_logic);end;architecturefenpinoffenpingissignalfull:std_logic;beginprocess(clock)variablecount:integerrange0to200;beginifclock'eventandclock='1'thenifcount=20

3、0thencount:=1;full<=notfull;else39count:=count+1;endif;endif;endprocess;Q<=full;end;libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entitymaichongisport(clock:instd_logic;Q:outstd_logic);end;architecturefenpinofmaichongissignalfull:std_logic;beginprocess(clock)variablecount:in

4、tegerrange0to500000;beginifclock'eventandclock='1'thenifcount=500000thencount:=1;full<=notfull;elsecount:=count+1;endif;endif;endprocess;Q<=full;end;libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entitymiaojishuis39port(clock:instd_logic;Q:outstd_logic);end;architecturefenpin

5、gofmiaojishuissignalfull:std_logic;beginprocess(clock)variablecount:integerrange0to1000000;beginifclock'eventandclock='1'thenifcount=1000000thencount:=1;full<=notfull;elsecount:=count+1;endif;endif;endprocess;Q<=full;end;libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entitysa

6、omiaoisport(bcdin1:instd_logic_vector(3downto0);bcdin2:instd_logic_vector(3downto0);bcdin3:instd_logic_vector(3downto0);bcdin4:instd_logic_vector(3downto0);bcdin5:instd_logic_vector(3downto0);bcdin6:instd_logic_vector(3downto0);q:outstd_logic_vector(1downto0);clk:instd_logic;segout:outstd_logic_vec

7、tor(6downto0));end;architectureoneofsaomiaoissignalbcdin:std_logic_vector(3downto0);beginprocess(clk,bcdin1,bcdin2,bcdin3,bcdin4)39beginifbcdin3="1001"andbcdin4="0101"andbcdin2="0101"thencasebcdin1iswhen"00

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。