基于vhdl八路彩灯控制器设计说明书

基于vhdl八路彩灯控制器设计说明书

ID:29472299

大小:421.54 KB

页数:40页

时间:2018-12-20

基于vhdl八路彩灯控制器设计说明书_第1页
基于vhdl八路彩灯控制器设计说明书_第2页
基于vhdl八路彩灯控制器设计说明书_第3页
基于vhdl八路彩灯控制器设计说明书_第4页
基于vhdl八路彩灯控制器设计说明书_第5页
资源描述:

《基于vhdl八路彩灯控制器设计说明书》由会员上传分享,免费在线阅读,更多相关内容在应用文档-天天文库

1、目录1.任务需求22.总体设计22.1各个花样的状态图22.2总体框图43.模块设计43.1分频器模块43.2花样一模块53.3花样二模块63.4花样三模块83.5顶层设计104.仿真图114.1分频器仿真波形114.2花样一仿真波形114.3花样二仿真波形124.4花样三仿真波形134.5总体仿真波形135.心得体会146.参考文献15161.任务需求现今生活中,市场上未能吸取顾客的注意,高出各式各样的方法,其中彩灯的装饰便是其中非常普遍的一种。使用彩灯即可起装饰宣传作用,又可以现场气氛,城市也因为众多的彩灯而变得灿烂辉煌。VHDL语言作为可编程逻辑器件的标准语言描述

2、能力强,覆盖面广,抽象能力强,在实际应用中越来越广泛。在这个阶段,人们开始追求贯彻整个系统设计的自动化,可以从繁重的设计工作中彻底解脱出来,把精力集中在创造性的方案与概念构思上,从而可以提高设计效率,缩短产品的研制周期。整个过程通过EDA工具自动完成,大大减轻了设计人员的工作强度,提高了设计质量,减少了出错的机会。要求设计一个8路彩灯控制器,要求彩灯可以演示以下花型:(1)从两边向中间亮,再从中间向两边亮;(2)实现淡入淡出效果(3)从左至右逐个亮,在从右到左逐个亮;2.总体设计2.1各个花样的状态图当选择花样一时状态图如下:S0=”ZZZZZZZZ”S1="10000

3、001"S2="01000010"S3="00100100"S4="00011000"S5="00100100"S6="01000010"S0S1CLRS2S6S3S5S416当选择花样二时状态图如下:S0=”ZZZZZZZZ”S1="00000000"S2="10000000"S3="11000000"S4="11100000"S5="11110000"S6="11111000"S7=”11111100”S8=”11111110”S9="11111111"S10="01111111"S11="00111111"S12="00011111"S13="00001111"S

4、14="00000111"S15=”00000011”S16=”00000001”S0s1CLRS16S2S4S15S5s14s6s13S7s12S8s11S9s10当选择花样三时状态图如下:S0=”ZZZZZZZZ”S1="10000000"S2="01000000"S3="00100000"S4="00010000"S5="00001000"S6="00000100"S7=”00000010”S8=”00000001”S9="00000010"S10="00000100"S11="00001000"S12="0001000"S13="00100000"S14="01

5、000000"S0s1CLRS2s14S3s13S4s1216s11S5s10s6S7S9S82.2总体框图分频器CLK状态机LED显示选择器XUAN3.模块设计3.1分频器模块--由于机器时钟周期太短,不能满足要求--此模块实现分频,得到需要的时钟LIBRARYIEEE;USEIEEE.std_logic_1164.ALL;USEIEEE.std_logic_unsigned.ALL;ENTITYfenpinqiISPORT(CLK:INSTD_LOGIC;--原机器时钟CLR:INSTD_LOGIC;16CLK1:OUTSTD_LOGIC);--分频后的时钟ENDf

6、enpinqi;ARCHITECTUREARTOFfenpinqiISSIGNALCK:STD_LOGIC;BEGINPROCESS(CLK,CLR)ISVARIABLETEMP:STD_LOGIC_VECTOR(2DOWNTO0);BEGINIFCLR='1'THENCK<='0';TEMP:="000";ELSIF(CLK'EVENTANDCLK='1')THENIFTEMP="111"THENTEMP:="000";CK<=NOTCK;ELSETEMP:=TEMP+'1';ENDIF;ENDIF;ENDPROCESS;CLK1<=CK;ENDART;3.2花样一模

7、块--用分频器分频后的时钟来显示花样实现--从两边向中间亮,再从中间向两边亮;LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;ENTITYhy1ISPORT(CLK1:INSTD_LOGIC;CLR:INSTD_LOGIC;XUAN:INSTD_LOGIC_VECTOR(1DOWNTO0);LED1:OUTSTD_LOGIC_VECTOR(7DOWNTO0));ENDENTITYhy1;ARCHITECTUREARTOFhy1ISTYPESTATEIS(S0,S1,S2,S3,S4,S5,S6);--设计状态

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。