基于vhdl设计的彩灯控制器四花样有音乐说明书

基于vhdl设计的彩灯控制器四花样有音乐说明书

ID:29472394

大小:993.04 KB

页数:53页

时间:2018-12-20

基于vhdl设计的彩灯控制器四花样有音乐说明书_第1页
基于vhdl设计的彩灯控制器四花样有音乐说明书_第2页
基于vhdl设计的彩灯控制器四花样有音乐说明书_第3页
基于vhdl设计的彩灯控制器四花样有音乐说明书_第4页
基于vhdl设计的彩灯控制器四花样有音乐说明书_第5页
资源描述:

《基于vhdl设计的彩灯控制器四花样有音乐说明书》由会员上传分享,免费在线阅读,更多相关内容在应用文档-天天文库

1、2012~2013学年第二学期《数字系统设计》课程设计报告题目:彩灯控制器班级:10信息本(2)班姓名:牛然陈丽华支发云指导教师:周珍艮洪锁电气工程系2013年05月《数字系统设计》任务书课题名称彩灯控制器的设计指导教师周珍艮、冯锁执行时间2012~2013学年第二学期第14周学生姓名学号承担任务评分牛然1009121112系统方案设计及程序调试仿真陈丽华1009121100部分模块的设计支发云1009121128部分模块的设计设计要求(1)用EDA技术设计一个彩灯控制器,使彩灯(LED管)能连续发出六种以上不同的花型(自拟);(2)随着彩灯显示图案的变化,发出

2、不同的音响声。(3)每两种花形变化的间隔为2秒;(4)有复位的功能,通过CLR键来控制复位;(5)有暂停功能,通过K1键来控制暂停和继续;III摘要随着电子技术的发展,当前数字系统的设计正朝着速度快,容量大,体积小,重量轻的方向发展。推动该潮流迅猛发展的引擎就是日趋进步和完善的ASIC设计技术。目前数字系统的设计可以直接面向用户需求,根据系统的行为和功能要求,自上至下地逐层完成相应的描述,综合,优化,仿真与验证,直到生成器件。上述设计过程除了系统行为和功能描述以外,其余所有的设计过程几乎都可以用计算机来自动完成,也就是说做到了电子设计自动化(EDA)。这样做可以

3、大大地缩短系统的设计周期,以适应当今品种多,批量小的电子市场的需求,提高产品的竞争能力。本文介绍了以VHDL为基础的彩灯控制系统的设计,实现了多种花型的循环变化,随着彩灯显示图案的变化,发出不同的音响声,并且可以对彩灯变换频率进行人为设定。本设计简单可靠,有较高的灵活性及实用价值。关键词:EDA;VHDL;彩灯控制系统III目录《数字系统设计》任务书I摘要II目录III第1章彩灯控制器原理11.1彩灯控制器的设计目的11.2彩灯控制器的设计要求11.3彩灯控制器的设计原理2第2章彩灯控制器的设计32.1彩灯控制器的设计框图32.2彩灯控制器模块设计42.2.1模

4、块功能描述42.2.2时序控制模块42.2.3显示控制模块6第3章彩灯控制器系统的实现及仿真103.1整体功能描述103.2程序编译与仿真133.2.1Xilinx的ISE软件的介绍133.2.2彩灯控制器的编译与仿真143.3程序下载16总结17参考文献18附录19答辩记录及评分表23III第1章彩灯控制器原理1.1彩灯控制器的设计目的本程序以计算机为工作平台,综合运用EDA软件工具开发环境、用硬件描述语言VHDL为设计语言,以ASIC来实现载体的设计。通过在EDA中的编译和处理、仿真下载、调试分析,接受一次电子设计蓝领初步的设计训练,并使所学的知识和技能得到

5、进一步巩固、深化和扩展,以达到可以运用EDA工具设计一些简单的电子产品,掌握使用EDA工具设计数字系统的设计思想和设计方法,为继续学习和认识电子设计知识打下良好的基础。培养利用EDA技术知识,解决电子设计自动化中常见实际问题的能力,积累实际的EDA编程。通过本课程设计的学习,复习所学的专业知识,使课堂学习的理论知识应用于实践。培养综合运用已学知识解决实际工程技术问题的能力、查阅图书资料和各种工具书的能力、工程绘图能力、撰写技术报告和编制技术资料的能力,接受一次电子设计自动化方面的基本训练。1.2彩灯控制器的设计要求1、用EDA技术设计一个彩灯控制器,使彩灯(LE

6、D管)能连续发出六种以上不同的花型(自拟);2、随着彩灯显示图案的变化,发出不同的音响声。3、每两种花形变化的间隔为2秒;4、有复位的功能,通过CLR键来控制复位;5、有暂停功能,通过K1键来控制暂停和继续;1.3彩灯控制器的设计原理23此设计的要求是连续发出四种以上的花型且显示不同花型的时候发出不同的响声。可以由此推测:如果要发出不同的响声,必定需要由不同的输出频率来实现,而显示部分可以使用计数扫描的方法得以实现,但是如果想听到清晰的声音,必然要加大输入频率,但输入频率的增加,必然导致显示部分花型变换的频率就会相应的增加,以致花型变换过快,显示不明显。如果利用

7、分频器,实现频率的改变,既可以发出不同的声音,又可以满足花型变换过快的问题。所以此设计的核心是分频器的使用。分频器部分的设计是利用计数器实现分频,将控制器外接的频率分为几个我们预先设定的值。当计数器达到预先设定的值,即产生一个上升沿,从而实现分频。显示部分的设计是利用分频器产生的低频信号记数,从而达到控制数码管显示时,不同花色之间变换的频率不至于太快。利用分频器输出的不同频率信号,可以在花型变换的时候控制扬声器发出不同的声音。综上所诉:这次的彩灯设计采用的是分模块来完成的,包括分频器、计数器、选择器、彩灯控制器。其中彩灯控制器是用来输出不同的花样,彩灯控制器的输

8、出则是用一个32进制的计

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。