用CORDIC IP产生SINE波形.doc

用CORDIC IP产生SINE波形.doc

ID:28118311

大小:223.00 KB

页数:5页

时间:2018-12-08

用CORDIC IP产生SINE波形.doc_第1页
用CORDIC IP产生SINE波形.doc_第2页
用CORDIC IP产生SINE波形.doc_第3页
用CORDIC IP产生SINE波形.doc_第4页
用CORDIC IP产生SINE波形.doc_第5页
资源描述:

《用CORDIC IP产生SINE波形.doc》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、用CORDICIP产生SINE波形二、定制XILINXCORDICIP  以ISE10.1软件为例,其集成的CORDIC算法IP为V3.0版本,具体步骤如下:1.新建工程,点NEWSource…调用IPCoreGenerator,输入模块名如MyCordic后按NEXT2.在SelectIP页面选择CORDIC算法IP,如下图示:  3.点NEXT后进入IP配置界面第一页,如下图示:  这里功能选择处我们选择为SinandCos,其它的为默认就可。4,点NEXT后进入IP配置界面第二页,如下图示:  这里PhaseFormat我们选择为Sc

2、aledRadians.并把CE脚去掉。PhaseFormat里Radians单位为弧度,ScaledRadians单位为多少PI弧度。5,点NEXT后进入IP配置界面第三页,如下图示:  根据D/A器件精度,输入输出我们选择12位位宽就可以了,其它的为默认值。6,点NEXT后进入IP配置界面第四页,如下图示:  在AdvancedConfiguraTIonParameters里IteraTIons指定内部迭代的次数,如果指定为0,则迭代次数会根据所选精度自动选择。Precision指定内部迭代加减时精度,如果指定为0,则迭代精度会根据所选

3、输入和输出的位宽自动选择。CoarseRotaTIon用来把相位扩展到整个坐标平面,如果不把它前面的勾选上,输入的相位限制在-PI/4~PI/4,我们在产生正弦波形时必须用到这功能,把它前面的勾选上。7.最后点左下角的Generate产生IP。说明一下IP引脚功能:PHASE_IN:输入的相位。CLK:输入时钟X_OUT:输出余弦值Y_OUT:输出正弦值RDY:数据准备好信号,当有新数据时,在第一个时钟周期RDY输出一个高电平脉冲。8.最后我们需要一个顶层模块来调用CORDICIP,并通过SPI总线写到赛灵思的Spartan?-3EFPGA

4、StarterKit开发板板载的DAC器件LTC2624上,我们可以在赛灵思的DEMOs3esk_picoblaze_dac_control基础上修改,把相关代码加到工程,例化一下CORDICIP,修改Picoblaze程序等等,详细步骤略。以上抛砖引玉,希望和大家来讨论。

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。