初学者学习Verilog HDL的步骤和经验技巧.doc

初学者学习Verilog HDL的步骤和经验技巧.doc

ID:27853256

大小:32.00 KB

页数:11页

时间:2018-12-06

初学者学习Verilog HDL的步骤和经验技巧.doc_第1页
初学者学习Verilog HDL的步骤和经验技巧.doc_第2页
初学者学习Verilog HDL的步骤和经验技巧.doc_第3页
初学者学习Verilog HDL的步骤和经验技巧.doc_第4页
初学者学习Verilog HDL的步骤和经验技巧.doc_第5页
资源描述:

《初学者学习Verilog HDL的步骤和经验技巧.doc》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、初学者学习VerilogHDL的步骤和经验技巧  VerilogHDL是一种硬件描述语言(HDL:HardwareDiscripTIonLanguage),VerilogHDL语言是一种以文本形式来描述数字系统硬件的结构和行为的语言,用它可以表示逻辑电路图、逻辑表达式,还可以表示数字逻辑系统所完成的逻辑功能。  VerilogHDL和VHDL是目前世界上最流行的两种硬件描述语言,都是在20世纪80年代中期开发出来的。前者由GatewayDesignAutomaTIon公司(该公司于1989年被Cadence公司收购)开发。两种HDL均为IEEE标准  Veril

2、ogHDL语言学习用途就是在最广泛的C语言的基础上发展起来的一种件描述语言,它是由GDA(GatewayDesignAutomaTIon)公司的PhilMoorby在1983年末首创的,最初只设计了一个仿真与验证工具,之后又陆续开发了相关的故障模拟与时序分析工具。1985年Moorby推出它的第三个商用仿真器Verilog-XL,获得了巨大的成功,从而使得VerilogHDL迅速得到推广应用。1989年CADENCE公司收购了GDA公司,使得VerilogHDL成为了该公司的独家专利。1990年CADENCE公司公开发表了VerilogHDL,并成立LVI组织以

3、促进VerilogHDL成为IEEE标准,即IEEEStandard1364-1995.VerilogHDL的最大特点就是易学易用,如果有C语言的编程经验,可以在一个较短的时间内很快的学习和掌握,因而可以把VerilogHDL内容安排在与ASIC设计等相关课程内部进行讲授,由于HDL语言本身是专门面向硬件与系统设计的,这样的安排可以使学习者同时获得设计实际电路的经验。与之相比,VHDL的学习要困难一些。但VerilogHDL较**的语法,也容易造成初学者犯一些错误,这一点要注意。1.首先讲一下为什么需要学习硬件描述语言(HardwareDescripTIonLa

4、nguage,HDL)  硬件描述语言是一种形式化方法描述数字电路和系统的语言。数字电路,应该是在大二上学期左右学习的课程,是一门非常重要的课程。现在大学的可能主要集中在逻辑门和小规模集成电路的讲解上,很少涉及到HDL。当然,我们是可以通过一些列的74系列芯片构成我们想设计数字系统,但是当系统门数增多,设计就会变得非常复杂和困难——但是照样有老外用这个方法实现了8位处理器,不过说实话,其成本和消耗的精力只能用来赚点眼球效应,而没太多的实际意义。随着EDA技术发展,现在更多的是采用CPLD、FPGA、ASIC等来实现。首先采用HDL由抽象到具体、逐层描述设计思想,

5、最后利用EDA工具进行仿真、综合,转换成门级电路网表,接下来再用CPLD/FPGA/ASIC自动布局布线工具把网表转换成具体电路布线结构的实现(这个概念可能对新手有一定难度,打个比方就好像是选了一堆适用的74芯片,然后再进行了连线)。现在的数字系统和数字信号处理发展相当快,掌握一门HDL,是理工科大学生的一个基本技能,现在的趋势是甚至比掌握单片机更加重要。因为我们使用HDL,甚至可以自己编写一个自己的单片机出来,然后在FPGA/ASIC上实现。2.选Verilog还是VHDL?  硬件描述语言的发展至今已经有20多年的历史。现在主要的语言VHDL(VeryHig

6、hSpeedIntegeratedHardwareDescriptionLanguage)和VerilogHDL(VerilogHardwareDescriptionLanguage)适应了历史发展的趋势和要求,先后成为IEEE标准。由我看来,语言的出现就是用语言描述替代图形化(元件拼凑)设计,把我们要实现的功能和思想用语言的形式写出来,转换成实际电路的工作就交给EDA工具去做,从而简化了我们设计的工作,节约了开发的时间。也可以这么说,对于硬件不是特别明白的人也不必要去了解过多的关于电路的东西就可以开始做开发,对于科研人员可能更为有用。选Verilog还是VHD

7、L学习,这个问题有很多的争论。这个东西好像蛮重要的,因为刚开始就要选定用什么语言,要比较两种语言的优劣,使用的情况等等,以后才能更好的学习。我只会Verilog,所以不敢乱弹两者之间的优劣,想从另外一个角度来说一下。这两种语言都是用于数字电子系统设计的硬件描述语言,而且都已经是IEEE的标准。VHDL1987年成为标准,而Verilog是1995年才成为标准的。这个是因为VHDL是美**方组织开发的,而Verilog是一个公司的私有财产转化而来的。为什么Verilog能成为IEEE标准呢?它一定有其优越性才行,所以说Verilog有更强的生命力。这两者有其共同的

8、特点:1.能形式化地抽象

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。