信息理论与编码课设

信息理论与编码课设

ID:25828941

大小:336.06 KB

页数:14页

时间:2018-11-23

信息理论与编码课设_第1页
信息理论与编码课设_第2页
信息理论与编码课设_第3页
信息理论与编码课设_第4页
信息理论与编码课设_第5页
资源描述:

《信息理论与编码课设》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、第1章(7,4)汉明码的构造原理1.1汉明码的构造原理EquationSection1线性分组码是一类重要的纠错码,应用很广泛。在(n,k)分组码中,若监督码元是按线性关系模2相加而得到的,则称其为线性分组码。现在以(7,4)汉明码为例来说明线性分组码的特点。设其码字为,,前4位为信息元,后3位是监督元,题设所给的非系统监督矩阵如下:经过初等行变换,可以获得典型监督矩阵如下:因此,该汉明码的监督元可以用如下线性方程表示,(1.1)显然,这3个方程是线性无关的。代入上述公式可得(7,4)汉明码的全部码组。如表1所示。表1(7,4

2、)汉明码的全部码组信息位监督位信息位监督位0000000100001100011111001100001011010101010011001101101001001011100110010101011010010110011111000001111001111111由上表可知:(7,4)汉明码的最小码距,它能纠一位错或检2位错。由此可见,汉明码是能够纠正单个错误的线性分组码,其特点是:最小码距,码长n与监督位r满足关系式:。同时,由于码率,故当n很大和r很小时,码率接近1,可见:汉明码是一种高效码。1.2监督矩阵H和生成矩阵G

3、1.2.1监督矩阵H式(1.1)所表示的监督方程可以改写为下式,(1.2)从而得到与之对应的矩阵表达式,(1.3)并简记为:或者。其中,H成为监督矩阵,只要H给定,信息位和监督位的关系也就随即确定下来了。H的行数就是监督矩阵的数目,等于监督数目r。H矩阵可以分为两个部分,(1.4)其中,P是阶矩阵,是阶单位阵。因为,所以可以用这个关系式来作为判断接收码字A是否出错的依据。若,则说明码字A有错,反之则说明码字A无错。1.2.2生成矩阵将(1.1)式的监督方程补充完整并写成矩阵的形式,(1.5)即,,其中M为阶信息码矩阵,G为阶矩

4、阵。通过观察可以得出,,其中为阶单位阵,Q为阶矩阵。因此,可以推断典型监督矩阵和基本生成满足下列关系式,(1.6)1.3校验子(S)设一组发送码字,在传输过程中可能产生误码,接收码组,收发码组之差定义为错误图样E,(1.7)其中,,令,称为校验子。(1.8)可见:校验子S和错误图样之间存在确定的线性变换关系。(7,4)汉明码校验子与错误图样之间的对应关系,如表2所示。表2(7,4)汉明码S与E对应关系错误码位错误图样E校验子错误位置000000100100000010010100001001002000100011130010

5、00011040100000101510000000116无错00000000007第2章QuartusⅡ软件介绍2.1QuartusⅡQuartusⅡ是Altera公司推出的CPLD/FPGA的开发工具,QuartusⅡ提供了完全集成且于电路结构无关的开发环境,具有数字逻辑设计的全部特性。QuartusⅡ设计软件提供完整的多平台设计环境,可以轻松地满足特定设计的需要。它是可编程片上系统(SOPC)设计的综合性环境,拥有FPGA和CPLD这几的所有阶段的解决方案。与其他EDA软件相比较,QuartusⅡ的主要特点包括:◇可利用

6、原理图、结构图、VerilogHDL、AHDL和VHDL完成电路描述,并将其保存为设计实体文件。◇芯片(电路)平面布局连线编辑。◇Logiclock增量设计方法,用户建立并优化系统,然后添加对原始系统的性能影响较小或无影响的后续模块、功能强大的逻辑综合工具。◇完备的电路功能仿真与时序逻辑分析、定时/时序分析与关键路径延时分析。◇可使用SignalTapⅡ逻辑分析工具进行嵌入式的逻辑分析。◇使用组合编译方式可一次完成整体设计流程。◇自动定位编辑错误、高效的器件编程与验证工具。◇可读入标准的EDIF网表文件、VHDL网表文件、Ve

7、rilog网表文件。◇能生成第三方EDA软件使用的VHDL网表文件和Verilog网表文件。2.2VHDL语言VHDL语言主要用许多含有于描述数字的结构、行为、功能和接口,除了具有硬件特征的语句外,VHDL的语言形式和描述风格与句法是十分类似于一般的计算机高级语言。VHDL的程序结构特点是将一项工程设计,或称设计实体(可以是一个元件,一个电路模块或一个系统)分成外部(或称可视部分)和内部(或称不可视可视部分),即涉及实体的内部功能和算法完成部分。在对一个设计实体定义了外部界面后,一旦其内部开发完成后,其他的设计就可以直接调用这

8、个实体。这种将设计实体分成内外部分的概念是VHDL系统设计的基本点。应用VHDL进行工程设计的优点如下几点:◇与其他的硬件描述语言相比,VHDL具有更强的行为描述能力,从而决定了他成为洗头膏设计领域最佳的硬件描述语言。强大的行为描述能力是避开具体的器件结构,从逻辑行为上描述和

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。