eda课程设计--秒表设计

eda课程设计--秒表设计

ID:25683259

大小:893.55 KB

页数:12页

时间:2018-11-22

eda课程设计--秒表设计_第1页
eda课程设计--秒表设计_第2页
eda课程设计--秒表设计_第3页
eda课程设计--秒表设计_第4页
eda课程设计--秒表设计_第5页
资源描述:

《eda课程设计--秒表设计》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、EDA课程设计报告题目:秒表设计班级:通信11-3小组成员:易新会、王伟、陈虹余、迪拉热指导老师:黄志华学院:信息科学与工程学院2014年1月1日内容一:设计任务与要求秒表的逻辑结构比较简单,它主要由、显示译码器、分频器、十进制计数器、报警器和六进制计数器组成。在整个秒表中最关键是如何获得一个精确的100Hz计时脉冲,除此之外,整个秒表还需要一个启动信号和一个归零信号,以便能够随时启动及停止。秒表有六个输出显示,分别为百分之一秒,十分之一秒、秒、十秒、分、十分,所以共有6个计数器与之对应,6个个计数器全为BCD码输出,这样便于同时显示译码器的连接。当计时达60分钟后,蜂鸣器鸣响

2、3声。二:设计原理本系统采用自上向下的设计方案,系统的整体设计组装原理图如图2-1所示,它主要由控制模块,时基分屏模块,计时模块和显示模块四部分组成。各模块分别完成控制,分屏,计时和显示的功能设计原理图三、程序模块1、控制模块程序libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entityctrlisport(clr,clk,sp:instd_logic;en:outstd_logic);endctrl;architecturebehaveofctrlistypestatesis(s0,

3、s1,s2,s3);signalcurrent_state,next_state:states;begincom:process(sp,current_state)begincasecurrent_stateiswhens0=>en<='0';ifsp='1'thennext_state<=s1;elsenext_state<=s0;endif;whens1=>en<='1';ifsp='1'thennext_state<=s1;elsenext_state<=s2;endif;whens2=>en<='1';ifsp='1'thennext_state<=s3;elsenex

4、t_state<=s2;endif;whens3=>en<='0';ifsp='1'thennext_state<=s3;elsenext_state<=s0;endif;endcase;endprocess;synch:process(clk)beginifclr='1'thencurrent_state<=s0;elsifclk'eventandclk='1'thencurrent_state<=next_state;endif;endprocess;endbehave;2、时基分频模块程序libraryieee;useieee.std_logic_1164.all;ent

5、itycb10isport(clk:instd_logic;co:bufferstd_logic);endcb10;architectureartofcb10issignalcounter:integerrange0to49999;beginprocess(clk)beginif(clk='1'andclk'event)thenifcounter=49999thencounter<=0;co<=notco;elsecounter<=counter+1;endif;endif;endprocess;endart;3、计时模块的程序1)、十进制计数器libraryieee;usei

6、eee.std_logic_1164.all;useieee.std_logic_unsigned.all;entitycdu10isport(clk,clr,en:instd_logic;cn:outstd_logic;count10:outstd_logic_vector(3downto0));endcdu10;architectureartofcdu10issignaltemp:std_logic_vector(3downto0);beginprocess(clk,clr)beginifclr='1'thentemp<="0000";cn<='0';elsif(clk'e

7、ventandclk='1')thenifen='1'theniftemp>="1001"thentemp<="0000";cn<='1';elsetemp<=temp+1;cn<='0';endif;endif;endif;count10<=temp;endprocess;endart;2)、六进制计数器libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entitycdu6isport(clk,clr

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。