基于fpga进行vga控制器设置实现显示器条纹显示

基于fpga进行vga控制器设置实现显示器条纹显示

ID:24925455

大小:4.66 MB

页数:17页

时间:2018-11-17

基于fpga进行vga控制器设置实现显示器条纹显示_第1页
基于fpga进行vga控制器设置实现显示器条纹显示_第2页
基于fpga进行vga控制器设置实现显示器条纹显示_第3页
基于fpga进行vga控制器设置实现显示器条纹显示_第4页
基于fpga进行vga控制器设置实现显示器条纹显示_第5页
资源描述:

《基于fpga进行vga控制器设置实现显示器条纹显示》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、实验目的与要求:VGA控制器设计实现显示器条纹显示要求:通过FPGA板的VGA接口在显示器上显示一幅640*480由六条不同颜色的条纹组成的图像。实验内容:VGA简介VGA彩色显示器,彩色是由R、G、B(红、绿、蓝)三基色组成,CRT用逐行扫描方式实现图像显示,由VGA控制模块产生的水平同步信号(HS)和垂直同步信号(VS)控制阴极射线枪产生的电子束,打在涂有荧光粉的荧光屏上,产生R、G、B三基色,合成一个彩色像素。扫描从屏幕的左上方开始,由左至右,由上到下,逐行进行扫描,每扫完一行,电子束回到屏幕下一行的起始位置,在回扫期间,CRT对电子束进行消隐,每行结束是用行同步信号HS进行行同步

2、;扫描完所有行,再由场同步信号VS进行场同步,并使扫描回到屏幕的左上方,同时进行场消隐,预备下一场的扫描。显示需要R,G,B,Hsync(行同步),Vsync(帧同步)五个信号输出到显示器,本设计按照VGA工业标准输出640*480@60Hz.对应的时序如下:图1VGA接口信号基本时序图图2FPGA板上的VGA接口图3VGA(640*480@60Hz)时序图VGA显示的设计模块为:说明:设计中FPGA板的VGA接口将R,G,B分别设为定义为2位,3位,3位,例如显示红色RGB可以输出为11000000,绿色输出为00111000,蓝色输出为00000111.表125MHz640*480@

3、60Hz模式下VGA的时序规格说明1.像素时钟配置为25Mhz。2.编写代码时,需要用到的常数参考表一。3.输出到显示器上的条纹为从上到下依次为RGBRGB(640*80)。4.本实验使用FPGA板:Sparant3EXC3S500E(建project时,需要选择板的型号)。实验方法、步骤:1、基本设计思想如下图所示:分为这四个模块,产生Hsync(行同步),Vsync(帧同步)以及RGB色彩的输出。2、具体设计VHDL代码libraryIEEE;useIEEE.STD_LOGIC_1164.ALL;useIEEE.STD_LOGIC_ARITH.ALL;useIEEE.STD_LOGI

4、C_UNSIGNED.ALL;entitymainisport(clk,rst:instd_logic;Vsync,Hsync:outstd_logic;data:outstd_logic_vector(7downto0));endmain;architectureBehavioralofmainiscomponentdcnis---------DCM分频模块,输出25M时钟port(CLKIN_IN:instd_logic;RST_IN:instd_logic;CLKFX_OUT:outstd_logic;----输出时钟CLKIN_IBUFG_OUT:outstd_logic;LOC

5、KED_OUT:outstd_logic);endcomponent;signaldiv_25m,Nrst:std_logic;signalVsys_20b:std_logic_vector(19downto0);signalHsys_10b:std_logic_vector(9downto0);signaldata_Vsend,data_Hsend:std_logic;signalScan_16b:std_logic_vector(15downto0);signalScan_clc:std_logic;signaldata_sel:std_logic_vector(1downto0);

6、begindiv:dcnportmap(clk,Nrst,div_25m,open,open);---分频模块Nrst<=notrst;process(div_25m,rst)beginifrst='0'thenVsys_20b<="00000000000000000000";elsifdiv_25m'eventanddiv_25m='1'thenVsys_20b<=Vsys_20b+1;ifVsys_20b=416799thenVsys_20b<="00000000000000000000";endif;endif;endprocess;process(div_25m,rst,Vsys

7、_20b)beginifrst='0'thenVsync<='1';data_Vsend<='0';elsifdiv_25m'eventanddiv_25m='1'thenifVsys_20b<8000thenVsync<='1';data_Vsend<='0';elsifVsys_20b>=8000andVsys_20b<9600thenVsync<='0';data_Vsend<='0';elsifVsys_20b>=9600a

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。