基于串行法的新型eda优化技术的研究

基于串行法的新型eda优化技术的研究

ID:24920373

大小:52.00 KB

页数:4页

时间:2018-11-17

基于串行法的新型eda优化技术的研究_第1页
基于串行法的新型eda优化技术的研究_第2页
基于串行法的新型eda优化技术的研究_第3页
基于串行法的新型eda优化技术的研究_第4页
资源描述:

《基于串行法的新型eda优化技术的研究》由会员上传分享,免费在线阅读,更多相关内容在工程资料-天天文库

1、基于串行法的新型EDA优化技术的研究赵建飞1,段新文1,张福祥2(1.青海师范大学物理系,青海西宁810008;2.中国人民解放军93987部队,青海西宁810000)摘要:EDA代表了当今电子设计技巧的最新发展方向,利用EDA工具,电子设计师可以从概念、算法、协议等开端设计电子系统,大批工作可以通过计算机完成,并可以将电子产品从电路设计、性能分析到设计出IC版图或PCB版图的全部过程在计算机上主动处理完成。但EDA技术在优化方式上,却存在着一定的弱势,因此提出串行法新型优化方式,把耗用资源巨大、单时钟周期内完成的并行执行的逻辑块分割开,提取出相

2、同的逻辑模块,在时间上复用该模块,用多个时钟完成相同的功能,从而在一定程度上解决EDA技术优化不足的问题。.jyqkation,电子设计自动化)是20世纪90年代初从计算机辅助设计(CAD)、计算机辅助制造(CAM)、计算机辅助测试(CAT)和计算机辅助工程(CAE)的概念发展而来的。EDA技术就是以计算机为工具,设计者在EDA软件平台上用硬件描述语言VHDL完成设计文件,然后由计算机自动地完成逻辑编译、化简、分割、综合、优化、布局、布线和仿真,直至对于特定目标芯片的适配编译、逻辑映射和编程下载等工作。本文将会引入一种新型的串行优化方式,从而可以

3、使通过EDA工具进行的电子设计在一定程度上占用的芯片面积更小,速度更快。1未优化代码分析[1]1.1部分代码未优化代码如下:1.2生产电路生产电路结构图如图1所示。综合结果:适配至EPF10K20TC144?4使用了750个逻辑单元,资源占用率为65%。1.3仿真结果仿真结果示意图如图2所示。2优化代码分析2.1部分代码优化代码分析如下:2.2生产电路生产电路结构图如图3所示。综合结果:适配至EPF10K20TC144?4使用了291个逻辑单元,资源占用率为25%。2.3仿真结果仿真结果示意图如图4所示。3结论由上述分析可以看出,通过新型的串行化

4、的优化方式,可以很大程度上提高芯片面积的使用效率,如:未优化时,适配至EPF10K20TC144?4使用了750个逻辑单元,资源占用率为65%;而优化以后,适配至EPF10K20TC144?4使用了291个逻辑单元,资源占用率为25%;显而易见,通过串行化的方式进行优化,只使用了原芯片使用面积的38.8%,优化效果非常好。随着时钟频率的不断提高,串行化优化带来的硬件运行速度在一定程度上的降低的问题,也将会被解决,因此,串行优化方式将会成为未来一种非常有实际意义的新型优化方式。.jyqk].苏州:苏州大学出版社,2001.[2]潘松,黄继业.EDA

5、技术使用教程[M].北京:科学出版社,2002.[3]王锁萍.电子设计自动化(EDA)教程[M].成都:电子科技大学出版社,2000.[4]IEEEputerSociety.IEEEStd1076TM?2002.IEEEStan?dardVHDLLanguageReferenceManual[S].NewYork:IEEEPress,1987.[5]AldeInc..VHDLlanguagereferenceguide[R].Nevada:AldeInc.,1999.[6]唐颖.EDA技术与单片机系统[J].现代电子技术,2001,24(3):3

6、1?32.简介:赵建飞(1982—),男,硕士研究生。从事计算机网络、单片机、数据库方面的研究工作。

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。