EDA课程研究报告正弦波信号发生器设计.doc

EDA课程研究报告正弦波信号发生器设计.doc

ID:24752064

大小:144.01 KB

页数:34页

时间:2018-11-15

EDA课程研究报告正弦波信号发生器设计.doc_第1页
EDA课程研究报告正弦波信号发生器设计.doc_第2页
EDA课程研究报告正弦波信号发生器设计.doc_第3页
EDA课程研究报告正弦波信号发生器设计.doc_第4页
EDA课程研究报告正弦波信号发生器设计.doc_第5页
资源描述:

《EDA课程研究报告正弦波信号发生器设计.doc》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、《EDA》课程设计报告——正弦波信号发生器的设计34一、设计目的:进一步熟悉QuartusII及其LPM_ROM与FPGA硬件资源的使用方法。培养动手能力以及合作能力。二、设计要求:1、clk为12MHz。2、通过DAC0832输出正弦波电压信号,电压范围0~-5V。3、通过示波器观察波形。三、设计内容:在QUARTUSII上完成正弦波信号发生器的设计,包括仿真和资源利用情况了解(假设利用Cyclone器件)。最后在实验系统上实测,包括FPGA中ROM的在系统数据读写测试和利用示波器测试。信号输出的D/A使用实验系统上的ADC0832。四、设计原理:图1所示的正弦波信号发生器的结构

2、由四部分组成:1、计数器或地址发生器(这里选择10位)。341、正弦信号数据ROM(10位地址线,8位数据线),含有1024个8位数据(一个周期)。2、VHDL顶层设计。3、8位D/A(实验中可用ADC0832代替)。图1所示的信号发生器结构图中,顶层文件singt.vhd在FPGA中实现,包含两个部分:ROM的地址信号发生器,由10位计数器担任;一个正弦数据ROM,由LPM_ROM模块构成。LPM_ROM底层是FPGA中的EAB、ESB或M4K等模块。地址发生器的时钟clk的输入频率fo与每周期的波形数据点数(在此选择1024点),以及D/A输出的频率f的关系是:f=fo/102

3、4VHDL顶层设计singt.vhd8位D/A正弦波数据存储ROM10位计数器(地址发生器)图1正弦信号发生器结构框图34图2正弦波信号发生器的设计图四、设计步骤:1、建立.mif格式文件首先,mif文件可用C语言程序生成,产生正弦波数值的C程序如下:#include#includemain(){inti;floats;for(i=0;i<1024;i++){34s=sin(atan(1)*8*i/256);printf("%d:%d;",i,(int)((s+1)*255/2))}}其次,把上述程序编译后,在DOS命令行下执行命令:romge

4、n>sdata.mif;将生成的sdata.mif文件,再加上.mif文件的头部说明即可。.mif文件的头部说明如下所示:WIDTH=8;DEPTH=1024;ADDRESS_RADIX=DEC;DATA_RADIX=DEC;CONTENTBEGIN0:127;1:130;2:133;3:136;344:139;5:143;6:146;……(数据略去)1016:102;1017:105;1018:108;1019:111;1020:115;1021:118;1022:121;1023:124;END;1、在设计正弦波信号发生器前,必须首先完成存放波形数据ROM的设计。利用MegaW

5、izardPlug-Inmanager定制正弦信号数据ROM宏功能块,并将以上的波形数据加载于此ROM中。设计步骤如下:1)打开MegaWizardPlug-In34manager初始对话框。在Tools菜单中选择MegaWizardPlug-Inmanager产生一个对话框,选择Createanewcustom...项,即定制一个新的模块。单击Next按钮后,在所产生的对话框的左栏选择Storage项下的LPM_ROM,再选择CycloneⅡ器件和VHDL语言方式;最后输入ROM文件存放的路径和文件名:e:wwwrom_1024.vhd,单击Next按钮。1)选择ROM控制线

6、、地址线和数据线。在弹出的对话框中选择地址线位宽和ROM中数据数分别为10和1024;选择地址锁存控制信号clock。2)单击Next按钮在对话框的“WhatshouldtheRAM…”栏选择默认的Auto。在栏选择“Doyouwantto…Yes,usethisfileformemorycontentdata”项,并按Browse钮,选择指定路径上的文件rom_1024.mif。在“AllowIn-SystemMemory…”栏选择打勾,并在“TheInstanceIDofthisROM”栏输入ROM1,作为ROM的ID名称。最后单击Next按钮,再单击Finish按钮后完成RO

7、M定制。341)打开此文件可以看到其中调用初始化数据文件的语句为:init_file=>"sdata.mif"。最后生成的ROM元件文件如源代码1所示,其中的init_file指向已做了修改。修改后用于例化的波形数据ROM文件rom_1024.vhd如下。五、源代码:1)、源代码1如下所示:LIBRARYieee;USEieee.std_logic_1164.all;LIBRARYaltera_mf;USEaltera_mf.altera_mf_components.

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。