eda课程设计报告-正弦波信号发生器的设计

eda课程设计报告-正弦波信号发生器的设计

ID:11391050

大小:141.50 KB

页数:18页

时间:2018-07-11

eda课程设计报告-正弦波信号发生器的设计_第1页
eda课程设计报告-正弦波信号发生器的设计_第2页
eda课程设计报告-正弦波信号发生器的设计_第3页
eda课程设计报告-正弦波信号发生器的设计_第4页
eda课程设计报告-正弦波信号发生器的设计_第5页
资源描述:

《eda课程设计报告-正弦波信号发生器的设计》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、《EDA》课程设计报告——正弦波信号发生器的设计18一、设计目的通过本次课程设计,进一步了解QUARTUSⅡ与LPM_ROM与FPGA硬件功能的使用方法。培养自己查阅资料及解决问题的能力。二、设计要求1、通过按键,可以控制输出的是正弦波或三角波。2、通过ADC0832输出正弦波与三角波,电压V范围在0至-10V之间3、通过示波器观察波形。三、设计内容:在QUARTUSII上完成信号发生器的设计。最后在实验板上实测,包括FPGA中ROM的在系统数据读写测试和利用示波器测试。信号输出的D/A使用实验板上的ADC0832。四、设计原理:图1所示的波信号发生器的结构由五部分组成:1

2、、计数器或地址发生器(这里选择8位)。18正弦信号数据ROM(8位地址线,8位数据线),含有256个8位数据(一个周期)。2、VHDL顶层设计。3、8位D/A图1所示的信号发生器结构图中,顶层文件adc.vhd在FPGA中实现,包含两个部分:ROM的地址信号发生器,由8位计数器担任;一个正弦数据ROM(或者一个三角波数据ROM),由LPM_ROM模块构成。地址发生器的时钟clk的输入频率fo与每周期的波形数据点数(在此选择256点),以及D/A输出的频率f的关系是:f=fo/256VHDL顶层设计adc.vhd正弦波数据存储ROM11820分频8位计数器(地址发生器)8位D

3、/A按键3三角波数据存储ROM2图1正弦信号发生器结构框图图一信号发生器结构图图2信号发生器的设计图四、设计步骤:1、建立.mif格式文件mif文件可用C语言程序生成,产生正弦波数值的C程序如下:#include#includemain(){18inti;floats;for(i=0;i<256;i++){s=sin(atan(1)*8*i/256);printf("%d:%d;",i,(int)((s+1)*255/2))}}以zx.c保存。产生三角波数值的C程序如下:#include#include

4、main(){inti;floats;for(i=0;i<256;i++){18s=i;printf("%d:%d;",i,s);}}以sj.c保存。其次,把上述程序编译后,会生成EXE文件,在DOS命令行下分别执行以下命令:zx>zx.mif;sj>sj.mif;将生成的*.mif文件,再加上.mif文件的头部说明即可。.mif文件的头部说明如下所示:WIDTH=8;DEPTH=256;ADDRESS_RADIX=DEC;DATA_RADIX=DEC;CONTENTBEGIN……18……(数据略去)END;1、在设计信号发生器前,必须首先完成存放波形数据ROM的设计。

5、设计步骤如下:1)打开QUARTUSⅡ。在files菜单中选择new产生一个对话框,选择BlockDiagram/SchematicFile项,会生成一个*.bdf文件,双击文件空白处,跳出symbol窗口,在改窗口下选择megafunctions>storage>lpm_rom。2)在跳出的MegaWizardPlug-InManager中选择VHDL,路径保存在D:chengxv中3)在parametersettings窗口中,选择currentlyselecteddevicefamily:cyclone.选择ROM控制线、地址线和数据线。在弹出的对话框中选择地址线

6、位宽和ROM中数据数分别为8和64;选择地址锁存控制信号dualclock。在对话框的“WhatshouldtheRAM…”栏选择默认的Auto。181)单击NEXT,将此界面数据如图设置2)单击Next按钮,选择BROWSE,选择利用C做成的MIF文件,将此模块命名为ROM0,再单击Finish按钮后完成ROM0定制。3)打开此文件可以看到其中调用初始化数据文件的语句为:init_file=>".mif"。最后生成的ROM0元件文件如源代码1所示.五、源代码:181)、源代码1如下所示:LIBRARYieee;USEieee.std_logic_1164.all;LIBR

7、ARYaltera_mf;USEaltera_mf.all;ENTITYROM0ISPORT(address:INSTD_LOGIC_VECTOR(5DOWNTO0);inclock:INSTD_LOGIC;q:OUTSTD_LOGIC_VECTOR(7DOWNTO0));ENDROM0;ARCHITECTURESYNOFROM0IS18SIGNALsub_wire0:STD_LOGIC_VECTOR(7DOWNTO0);COMPONENTaltsyncramGENERIC(address_aclr_a:STRIN

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。