synopsys工具简介

synopsys工具简介

ID:22372456

大小:55.00 KB

页数:6页

时间:2018-10-28

synopsys工具简介_第1页
synopsys工具简介_第2页
synopsys工具简介_第3页
synopsys工具简介_第4页
synopsys工具简介_第5页
资源描述:

《synopsys工具简介》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、Synopsys工具简介

2、第1内容加载中...  ·SystemVerificationandAnalysis(系统验证与分析)  ·DesignPlanning(设计规划)  ·PhysicalSynthesis(物理综合)  ·DesignforManufacturing(可制造设计)  ·DesignforVerification(可验证设计)  ·TestAutomation(自动化测试)  ·DeepSubmicron,SignalandLayoutIntegrity(深亚微米技术、信号与规划完整性技术)  ·Inte

3、llectualPropertyandDesignReuseTechnology(IP核与设计重用技术)  ·StandardandCustomBlockDesign(标准和订制框图设计)  ·ChipAssembly(芯片集成)  ·FinalVerification(最终验证)  ·FabricationandPackaging(制造与封装设计工具)  ·TechnologyCAD(计算机辅助设计技术)  具体包括:LEDA  LEDA是可编程的语法和设计规范检查工具,它能够对全芯片的VHDL和Verilog描述、或者两者混

4、合描述进行检查,加速SoC的设计流程。LEDA预先将IEEE可综合规范、可仿真规范、可测性规范和设计服用规范集成,提高设计者分析代码的能力VCS  VCS是编译型Verilog模拟器,它完全支持OVI标准的VerilogHDL语言、PLI和SDF。VCS具有目前行业中最高的模拟性能,其出色的内存管理能力足以支持千万门级的ASIC设计,而其模拟精度也完全满足深亚微米ASICSign-Off的要求。VCS结合了节拍式算法和事件驱动算法,具有高性能、大规模和高精度的特点,适用于从行为级、RTL到Sign-Off等各个阶段。VCS已经将

5、CoverMeter中所有的覆盖率测试功能集成,并提供VeraLite、CycleC等智能验证方法。VCS和Scirocco也支持混合语言仿真。VCS和Scirocco都集成了Virsim图形用户界面,它提供了对模拟结果的交互和后处理分析。Scirocco  Scirocco是迄今为止性能最好的VHDL模拟器,并且是市场上唯一为SoC验证度身定制的模拟工具。它与VCS一样采用了革命性的模拟技术,即在同一个模拟器中把节拍式模拟技术与事件驱动的模拟技术结合起来。Scirocco的高度优化的VHDL编译器能产生有效减少所需内存,大大加

6、快了验证的速度,并能够在一台工作站上模拟千万门级电路。这一性能对要进行整个系统验证的设计者来说非常重要。Vera  Vera验证系统满足了验证的需要,允许高效、智能、高层次的功能验证。Vera验证系统已被Sun、NEC、Cisco等公司广泛使用以验证其实际的产品,从单片ASIC到多片ASIC组成的计算机和网络系统,从定制、半定制电路到高复杂度的微处理器。Vera验证系统的基本思想是产生灵活的并能自我检查的测试向量,然后将其结合到test-bench中以尽可能充分测试所设计的电路。Vera验证系统适用于功能验证的各个层次,它具有以

7、下特点:与设计环境的紧密集成、启发式及全随机测试、数据及协议建模、功能代码覆盖率分析。Physicalpiler  Physicalpiler?解决0.18微米以下工艺技术的IC设计环境,是Synopsys物理综合流程的最基本的模块,它将综合、布局、布线集成于一体,让RTL设计者可以在最短的时间内得到性能最高的电路。通过集成综合算法、布局算法和布线算法。在RTL到GDSII的设计流程中,Physicalpiler向设计者提供了可以确保即使是最复杂的IC设计的性能预估性和时序收敛性。Clocktreepiler  ClockTre

8、epiler是嵌入于Physicalpiler的工具,它帮助设计者解决深亚微米IC设计中时钟树的时序问题。它不仅能够简化设计流程,而且可以极大的提高时钟树的质量:对于插入延时有5%-20%的改进,对时钟偏移有5%-10%的改进。DC-Expert  DC得到全球60多个半导体厂商、380多个工艺库的支持。据最新Dataquest的统计,Synopsys的逻辑综合工具占据91%的市场份额。DC是十二年来工业界标准的逻辑综合工具,也是Synopsys最核心的产品。它使IC设计者在最短的时间内最佳的利用硅片完成设计。它根据设计描述和约

9、束条件并针对特定的工艺库自动综合出一个优化的门级电路。它可以接受多种输入格式,如硬件描述语言、原理图和网表等,并产生多种性能报告,在缩短设计时间的同时提高设计性能。DCUltra  对于当今所有的IC设计,DCUltra?是可以利用的最好的综合平台。它扩展了DC

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。