Mentor工具简介

Mentor工具简介

ID:40548927

大小:124.72 KB

页数:3页

时间:2019-08-04

Mentor工具简介_第1页
Mentor工具简介_第2页
Mentor工具简介_第3页
资源描述:

《Mentor工具简介》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、Mentor工具简介下图是目前行业主流的IP/ASIC/SoC设计流程以及行业认可的EDA技术平台,其中整合了Synopsys、MentorGraphics以及Magma公司的相关技术和产品,构成完整的设计流程和方法学。芯片功能规格EDA工具流程概念需求研究芯片结构设计功能制定阶段芯片设计方案(文本)芯片的设计实现MentorPerspecta/CatapultC系统级设计技术PlatformExpress/IP系统级模型、IP及综合得到的RTLMentorHDLDesignSeries模块设计输入模块设计验证MentorRTL芯片电路设计Questa/ModelSim

2、VHDL/VerilogHDL芯片级分析与验证ASIC/SoC原型验证技术Mentor0-INSeamlessCVE芯片级协同验证存储器自测试电路RTL代码RTL级DFT设计:Mentor存储器内建自测试MBISTArchitect硬件仿真器FPGA原型系统边界扫描测试MentorBSDArchitectFPGAAdvantage边界扫描电路RTL代码VeloceExpeditionPCB15M/30M原型开发环境芯片级逻辑综合FPGA实现环境Magma/SynopsysXilinx,Altera等芯片电路门级网表门级DFT设计MentorDFTAdvisor基于Vel

3、oce的基于FPGA板的扫描测试电路插入加入扫描测试电路原型验证系统原型验证系统ATPG的芯片门级网表MentorFastScan测试向量生成测试向量(To测试机)标准单元布局布线Magma/Synopsys芯片GDSII网表版图验证MentorCalibreDRC/LVS/xRC网表及参数提取从GDSII网表中提取出来的SDF延时标注文件后仿真与时序分析MentorADMSSynopsysPrimeTimeTapeOut芯片生产制造、测试如上图所示,MentorGraphics公司面向“IP/ASIC/SoC设计环境平台”提供定制IC芯片设计技术、混合信号混合语言So

4、C的仿真验证技术、FPGA与PCB设计技术、系统设计技术等。在定制IC设计领域,MentorGraphics公司提供DA-IC、ICStation、Eldo以及Calibre等技术和产品构成完善高性能的定制IC设计全流程;在混合信号混合语言的IC/ASIC/SoC仿真验证领域,MentorGraphics公司提供行业最著名并且支持最完备的ADMS环境平台,支持包括C、SystemC、SystemVerilog、Verilog、VHDL、SPICE等在内的广泛设计抽象的完整的芯片级验证和分析;在大规模复杂的数字ASIC和SoC设计领域MentorGraphics公司领先的

5、仿真验证技术、硬件软件协同验证技术、DFT技术、物理验证技术以及硬件仿真器技术都是行业最领先的解决方案,并且成功地应用在广泛的设计实践中;在芯片和模块封装领域MentorGraphics公司有SuperMax产品系列,支持集成电路芯片、MCM以及混合电路的封装设计和分析;在系统设计领域MentorGraphics更是周在行业的最前沿,包括FPGA设计技术FPGAAdvantage、板级系统设计技术DxDesigner/Expedition、系统物理分析技术以及嵌入式软件技术等都为行业所推崇。为了保证集成电路设计的成功流片及具有更高的成品率,代工厂商都会依据工艺水平设定众

6、多的设计规则,对版图图形进行约束。进行版图设计,必须遵守这些设计规则。由于采用的工艺不同,代工厂商不同,因此设计规则也不尽相同。设计规则主要取决于代工厂商加工工艺,即使采用相同的工艺,代工厂的不同,设计规则也会有差异。在设计过程中,由于人为或者工具的因素,不可避免会违反设计规则,要确保设计的质量,必须进行物理验证,确保整个设计都是满足设计规则的。物理验证主要包含三部分的工作,即DRC(DesignRuleCheck)、LVS(LayoutVSSchematic)和PEX(ParasiticEXtraction)。DRC主要进行版图设计规则检查,也可以进行部分DFM(De

7、signForManufacture)的检查(比如金属密度,天线效应),确保工艺加工的需求;LVS主要进行版图和原理图的比较,确保后端设计同前端设计的一致性;PEX则主要进行寄生参数的提取,由于在前端设计时并没有或者不充分的考虑金属连线及器件的寄生信息,而这些在设计中(特别是对于深亚微米设计)会严重影响设计的时序、功能,现在要把这些因素考虑进来,用仿真工具进行后仿真,确保设计的成功。物理验证工具CalibreDRC/LVSCalibre是业界所公认的深亚微米及纳米设计和半导体生产制造中物理验证的行业标准。Calibre提供了快速准确的设计

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。