dsp hpi总线与mpc8272总线接口的fp

dsp hpi总线与mpc8272总线接口的fp

ID:22370143

大小:54.00 KB

页数:5页

时间:2018-10-28

dsp hpi总线与mpc8272总线接口的fp_第1页
dsp hpi总线与mpc8272总线接口的fp_第2页
dsp hpi总线与mpc8272总线接口的fp_第3页
dsp hpi总线与mpc8272总线接口的fp_第4页
dsp hpi总线与mpc8272总线接口的fp_第5页
资源描述:

《dsp hpi总线与mpc8272总线接口的fp》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、DSPHPI总线与MPC8272总线接口的FP论文关键词:DSPHPIMPC8272FPGAVHDL源代码  论文摘要:通过对TI公司TMS320C6421DSPHPI接口信号和接口总线时序的分析,以VHDL语言为工具,使用Altera的FPGA芯片EP3C40F780C8,设计完成MPC8272总线和TMS320C6421DSPHPI总线之间的通信接口,并在实际的产品中得到运用,给出与整个接口设计相关的VHDL源代码。对于类似的DSPHPI接口设计,此文章具有参考和指导意义。  一、HPI概述  HPI(Host-PortInterface)主机接口,是TI高性能DSP上配置的与主

2、机进行通信的片内外设。通过HPI接口,主机可以非常方便地访问DSP的所有地址空间,从而实现对DSP的控制。  TMS320C6421的HPI接口是一个16bit宽的并行端口。主机(host)对CPU地址空间的访问是通过EDMA控制器实现的。HPI接口的访问主要通过三个专用寄存器来实现,它们分别是HPI控制寄存器(HPIC)、HPI地址寄存器(HPIA)和HPI数据寄存器(HPID)。  二、HPI接口信号简介  (1)HD[15∶0](数据总线)  (2)HTL[1∶0](控制HPI访问类型)  如前所述,对HPI的访问需要通过三个寄存器,即HPI地址寄存器(HPIA),HPI数据寄

3、存器(HPID)和HPI控制寄存器(HPIC)来实现。HTL[1∶0]就是用于选择这三个寄存器的专用引脚。HTL1HTL0HPI访问类型00主机可读写HPI控制寄存器HPIC01主机可读写HPI数据寄存器HPID,读操作或写操作后HPIA自动增110主机可读写HPI地址寄存器HPIA11主机可读写HPI数据寄存器HPID,读操作或写操作后HPIA不变  (3)HHS320C6421HPI接口中目前没有用,连接到逻辑高电平。  (8)HINT(向主机输出的中断)  三、HPI接口寄存器简介  如上所述,主机通过HPI接口对DSP的访问实际上是通过三个寄存器来实现的,下面就针对这三个专用

4、寄存器进行介绍。  (1)HPI控制寄存器(HPIC)  HPIC中每一位都有特定的功能,在对HPI进行访问的过程中需要特别注意。简要介绍一下这些功能位的作用。  ①HPC8272传输结束标识,HPI口HRDY有效后FPGA向CPU发送TA,保证HPI数据正确读出。C6416HPI的HINT信号可以直接连接到主机的IRQ引脚上实现HPI对主机的中断信号连接,也可通过FPGA连接到主机,使控制更灵活。  六、地址空间分配  由于C6421为16位的HPI口,其内部总线为32位,所以每次读写要分两次,一次为高16位,一次为低16位,由HHPC8272的4对地址空间,由MPC8272CS3

5、控制,配置CS3为16位宽的GPCM访问模式,如下地址分配:  HPIC:0x0D000000(高16位),0x0D000002(低16位)。  HPIA:0x0D000004(高16位),0x0D000006(低16位)。  HPID_FIX:0x0D00000C(高16位),0x0D00000E(低16位),C6421地址由当前的HPIA决定。  HPID_Auto:0x0D000008(高16位),0x0D00000A(低16位)C6421地址自动加1。  七、HPI接口相关VHDL代码  outputwirec6421_hasn,c6421_hcsn,c6421_hdsn1,

6、c6421_hdsn2,  assignc6421_hasn=1’B1;  assignc6421_hcsn=cs3;  assignc6421_hdsn1=p;tax001tax002tax003tax004):1’BZ;  MPC8272功能很强大,C6421HPI接口相对于MPC8272来说为慢速外部设备,TA为MPC8272传输结束标识,HPI口HRDY有效后FPGA向CPU发送TA,保证HPI数据正确读出。因此在VHDL程序中设计了状态机,当HRDY信号有效后就立即结束本次访问,否则经过一段时间的延迟后强制结束本次访问,这样可以避免接口长时间占用总线,影响系统性能。  八、

7、结束语  本文使用VHDL语言和FPGA,设计了MPC8272与DSP之间的HPI接口。之所以使用FPGA,是因为在系统中FPGA还包含有其他的功能设计。如果只有MPC8272与DSP之间的HPI接口设计,使用CPLD即可完成,而不必浪费FPGA的资源。

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。