10001927杨润达课程设计报告

10001927杨润达课程设计报告

ID:20985823

大小:331.07 KB

页数:16页

时间:2018-10-18

10001927杨润达课程设计报告_第1页
10001927杨润达课程设计报告_第2页
10001927杨润达课程设计报告_第3页
10001927杨润达课程设计报告_第4页
10001927杨润达课程设计报告_第5页
资源描述:

《10001927杨润达课程设计报告》由会员上传分享,免费在线阅读,更多相关内容在工程资料-天天文库

1、通达学院2012/2013学年第二学期软件设计实验报告模块名称8位格雷码编码器、高速分频器专业通信工程学生班级100019学生学号10001927学生姓名杨润达指导教师王奇、孔凡坤、周小燕、梅中辉设计题目基础题:8位格雷码编码器综合题:高速分频器设计任务要求基础题:设计一个8位(bit)二进制码输入,输出8位格雷码的编码器(输A:B7…B0并行8位,输出G7---G0并行8位,提示:当i<7时:G(i)=B(i+l)xorB(i),G(7)=B(7))o综合题:有一个10MHz的时钟源,为得到4

2、Hz,3Hz,2Hz和1Hz的信号,请设计一种分频器。实验设备QuartusII9.0及软件同组人员无学号及姓名参考文献[1]王振红,VHDL电路设计与应用实践教程:机械工业出版社。[2]徐向民,数字系统设计及VHDL实践:机械工业出版社。[3]毛为勇,祁中洋,王.兰,基于FPGA的任意小数分频器的设计:桂林航天工业高等专科学校学报实验目的:8位格雷码编码器及高速分频器设计1.全而了解如何应用该硬件描述语言进行岛速集成电路设计2.通过软件使用、设计与仿真环节使学生熟悉EDA-VHDL开发环境3.

3、通过对基本题、综合题的设计实践,使学生掌握硬件系统设计方法(自底向上或自顶向下),熟悉VHDL语言三种设计风格,并且培养应用VHDL语言解决实际W题的能力。实验设备:PC机实验课题:一、8位格雷码编码器1、主要功能设计一个8位(bit)二进制码输入输出8位格雷码的编码器(输入:B7-B0并行8位,输出G7---G0并行8位,提示.•当i<7时:G(i)=B(i+l)xorB⑴,G(7)=B(7)。2、设计原理根据组合逻辑电路的分析方法,先列出其真值表再通过卡诺图化简,可以很快的找出格雷码与二进制

4、码之间的逻辑关系。其转换规律为:高位同,从高到低看异同,异出‘1’,同出‘0’。也就是将二进制码转换成格雷码时,高位是完全相同的,下一位格雷码是‘1’还是‘0’,完全是相邻两位二进制码的“异”还是“同”来决定。下面举一个简单的例子加以说明。二进制码:0110假如要把二进制码10110110转换成格雷码,则可以通过下面的方法来完成,方法如图1-1。Gray码:图1-13、功能仿真G[0]G[l]G[2]G[3]G[4]G[5]G[6]G[7]B[0]B[l]B[2]B[3]bW]B[5]B[6]B

5、[7]说明:B7〜BO为输入信号,二进制码为:10110110G7〜GO为输出信号,格雷码为:111011014、实验代码:见附录一二、高速分频器设计1、主要功能设计一个分频器,对lOMhz的吋钟源进行分频,以得到4Hz,3Hz,2Hz,lHz的时钟。2、设计原理①整体思路因为lOMhz时钟源速度很快,直接分频成1Hz级别的吋钟的话分频系数太大,虽然思路简单,但是在实现时会造成quartus的寄存器资源消耗殆尽,导致编译失败。所以我先通过10分频和100000分频将lOMhz时钟源分频为10Hz

6、的时钟源,然后对10Hz的时钟源分别进行2.5分频,3.3分频,5分频,10分频。从而得到4Hz,3Hz,2Hz,1Hz的时钟源。原理框图如图2-1所示。图2-12.5分频模块原理设计一个模3的计数器,再设计一个扣除脉冲电路,加在模3计数器输出之后,每来两个脉冲就扣除一个脉冲(实际上是使被扣除的脉冲变成很窄的脉冲,可由异或门实现),就可以得到分频系数为2.5的小数分频器。设需要设计一个分频系数为N-0.5的分频器,其电路可由一个模N计数器、一个二分频器和一个异或门组成,如图2-2所示。在实现吋,

7、模1比数器可设计成带预置的计数器,这样就可以实现任意分频系数为N-0.5的分频器。电路原理图如图2-2所示。图2-2③3.3分频模块设计l3j设置一个计数器,令其初始值为0;在时钟源elk的每一个上升沿,计数器加上Q,若计数器里面的值小于P,则发出删除一个脉冲的信号,将delete置为低电平;若其值大于P,则将计数器的值减去P,并且将delete置为高电平,不发出删除脉冲的信号。本实验中要将一个10Hz的时钟源分频为3Hz的时钟信号,则Q=3,P=10o电路原理图如图2-3所示。图2-3@5分频

8、模块设计定义两个计数器,分别对输入时钟的上升沿和下降沿进行计数,然后把这两个计数值输入一个组合逻辑,用其控制输出时钟的电平。这是因为计数值为奇数,占空比为50%,前半个和后半个周期所包含的不是整数个elkin的周期。5分频,前半个周期包含2.5个elkin周期,后半个周期包含2.5个elkin周期。⑤10分频模块设计定义一个计数器对输入时钟进行计数,在计数的前一半时间里,输出高电平,在计数的后一半时间里,输出低电平,这样输出的信号就是占空比为50%的偶数分频信号。10分频,计数值为0〜4输出高电

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。