通信原理设计性实验77574new

通信原理设计性实验77574new

ID:20880262

大小:216.50 KB

页数:3页

时间:2018-10-17

通信原理设计性实验77574new_第1页
通信原理设计性实验77574new_第2页
通信原理设计性实验77574new_第3页
资源描述:

《通信原理设计性实验77574new》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、CMI编码器设计一、课前预习1.预习Altera公司quartus软件的使用方法。2.预习FPGA的基本编程技术。3.复习通信原理中关于CMI编码部分的知识。二、实验目的掌握FPGA中实现CMI编码的方法。三、实验仪器计算机(带quartusII开发环境)一台四、实验原理CMI编码规则见如下表所示:输入码字编码结果001100/11交替表示在CMI编码中,输入码字0直接输出01码型,较为简单。对于输入为1的码字,其输出不仅与当前码字有关,还与前一个“1”码的输出有关,输出存在两种结果00或11码,交替出现。在同步情况

2、下,输出只对应三种有效码型,10码型无效,因此可以根据这个特点进行检错。同时,编码后的速率增加一倍。五、设计要求与方法1.设计要求在程序中定义的端口是:输入:CLK_ENCODE:时钟输入端,由信号源CLK1引入时钟信号。RST:复位信号,高电平有效。CIM_IN:NRZ码信号输入。输出:B_OUT:CMI编码输出。说明:CLK_ENCODE:8号板的FPGA的16脚,插座的名称为“CLK”。RST:8号板FPGA39脚,复位信号,S2pn1往上拨时,复位信号有效。CIM_IN:8号板的FPGA的10脚,插座的名称为

3、“COMRXA”。B_OUT:8号板的FPGA的77脚,插座的名称为“PCMOUTB”。2.设计方法首先将输入数据依据编码要求编成相应码字,0码编成“01”,1码交替成“00”或“11”,然后在原时钟上升沿和下降沿分别取高位和低位进行并串转换输出,就达到倍频输出的目的。六、实验步骤1.在quartus中新建或打开工程文件CMI_ENCODE.qpf。注意,工程设置不要随便改动,特别是管脚定义、器件定义,否则会损坏器件。2.在CMI_ENCODE.V中添加代码。然后,编译仿真后。经检查后方可下载(确认管脚分配正常)。七

4、.实验数据与结果CMI代码:module cmi(clk,rst,cmi_in,bian_out,yi_out);input clk,cmi_in;            //定义输入时钟信号及初始信号data//input rst; //定义输入清零端//output [1:0] bian_out; //编码输出2位二进制//reg x; //定义x  作为取反信号  进行输入为一译码为00 11的切换//reg [1:0] bian_out; //定义存储类型 进行数据存储//output  yi_out;//译

5、码输出//reg yi_out;always @(posedge clk or negedge rst)//当clk上升沿 或置零信号下降沿来临执行// begin if(!rst) //当清零为0 清零端有效编码输出置零//begin   bian_out<=0;      end   else if (cmi_in==1)  begin //数据为1执行,假设此时x为1,将00赋给编码输出//      if (x)    begin        bian_out[0]<=0;        bian_out[

6、1]<=0;         x<=~x; //对x取反//               end//结束退出//       else if (!x)   begin//当数据为1,x等于0时输入为1 编码为11//        bain_out[0]<=1;        bian_out[1]<=1;         x<=~x;        end     end   else if(cmi_in==0) begin//当数据为0,将01赋给编码输出        bian_out[0]<=1;      

7、  bian_out[1]<=0;               endendalways@(posedge clk )//译码 当clk上升沿到 有效,比编码输出延迟一个周期//begin if (bian_out==2'b01)//当编码输出为01 ,译码输出为0//  yi_out<=1'h0;else if(bian_out==2'b11)//当编码输出为11,译码输出为1//  yi_out<=1'h1;else if(bian_out==2'b00)//当编码输出为00,译码输出为1//  yi_out<=

8、1'h1; endendmodule调制的波形如下:波形分析:八.实验心得本次实验是我们的通信原理设计性实验,由于我们的专业知识不是非常熟悉,我们选择了简单的CMI编码,进行了编码器和译码器的设计,通过EDA的quartus软件进行了波形仿真,掌握FPGA中实现CMI编码和译码的方法。通过完全分析程序明白了实验原理和波形运行的结果,在最后的波形

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。