组合逻辑电路的分析方法

组合逻辑电路的分析方法

ID:20450901

大小:165.00 KB

页数:12页

时间:2018-10-12

组合逻辑电路的分析方法_第1页
组合逻辑电路的分析方法_第2页
组合逻辑电路的分析方法_第3页
组合逻辑电路的分析方法_第4页
组合逻辑电路的分析方法_第5页
资源描述:

《组合逻辑电路的分析方法》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、组合逻辑电路组合逻辑电路的分析方法一.组合逻辑电路的特点组合逻辑电路是数字电路中最简单的一类逻辑电路,其特点是功能上无记忆,结构上无反馈。即电路任一时刻的输出状态只决定于该时刻各输入状态的组合,而与电路的原状态无关。二.组合逻辑电路的分析方法例1:组合电路如图1所示,分析该电路的逻辑功能。图1例1电路图组合逻辑电路的设计方法组合逻辑电路的设计一般应以电路简单、所用器件最少为目标,并尽量减少所用集成器件的种类,因此在设计过程中要用到前面介绍的代数法和卡诺图法来化简或转换逻辑函数。例2:设计一个三人表决电路,结果按“少数服从多数”的原则决定。例3:设计一个电话机信号控制电路。电路有I0(火警)

2、、I1(盗警)和I2(日常业务)三种输入信号,通过排队电路分别从L0、L1、L2输出,在同一时间只能有一个信号通过。如果同时有两个以上信号出现时,应首先接通火警信号,其次为盗警信号,最后是日常业务信号。试按照上述轻重缓急设计该信号控制电路。要求用集成门电路7400(每片含4个2输入端与非门)实现。解:(1)列真值表:对于输入,设有信号为逻辑“1”;没信号为逻辑“0”。对于输出,设允许通过为逻辑“1”;不设允许通过为逻辑“0”。(2)由真值表写出各输出的逻辑表达式:这三个表达式已是最简,不需化简。但需要用非门和与门实现,且L2需用三输入端与门才能实现,故不符和设计要求。(3)根据要求,将上式

3、转换为与非表达式:表4.2.2例4.2.2真值表输入输出I0I1I2L0L1L20001××01×001000100010001(4)画出逻辑图如图4.2.5所示,可用两片集成与非门7400来实现。可见,在实际设计逻辑电路时,有时并不是表达式最简单,就能满足设计要求,还应考虑所使用集成器件的种类,将表达式转换为能用所要求的集成器件实现的形式,并尽量使所用集成器件最少,就是设计步骤框图中所说的“最合理表达式”。图2例3逻辑图常用中规模组合逻辑部件的原理和应用全加器在多位数加法运算时,除最低位外,其他各位都需要考虑低位送来的进位。全加器就具有这种功能。全加器的真值表如表所示。表中的Ai和Bi分

4、别表示被加数和加数输入,Ci-1表示来自相邻低位的进位输入。Si为本位和输出,Ci为向相邻高位的进位输出。全加器的真值表输入输出AiBiCI-1SiCi0000010100111001011101110010100110010111由真值表直接写出Si和Ci的输出逻辑函数表达式,再经代数法化简和转换得:画出全加器的逻辑电路如图(a)所示。图(b)所示为全加器的代表符号。图3全加器(a)逻辑图(b)符号编码器与译码器编码器的基本概念及工作原理编码——将字母、数字、符号等信息编成一组二进制代码。例:键控8421BCD码编码器。左端的十个按键S0~S9代表输入的十个十进制数符号0~9,输入为低电

5、平有效,即某一按键按下,对应的输入信号为0。输出对应的8421码,为4位码,所以有4个输出端A、B、C、D。图4.3.1键控8421BCD码编码器由真值表写出各输出的逻辑表达式为:表4.3.1键控8421BCD码编码器真值表输入输出S9S8S7S6S5S4S3S2S1S0ABCDGS111111111111111111101111111101111111101111111101111111101111111101111111101111111101111111101111111101111111110000000001000110010100111010010101101101011111

6、000110011画出逻辑图,如图4.3.1所示。其中GS为控制使能标志,当按下S0~S9任意一个键时,GS=1,表示有信号输入;当S0~S9均没按下时,GS=0,表示没有信号输入,此时的输出代码0000为无效代码。二.二进制编码器用n位二进制代码对2n个信号进行编码的电路称为二进制编码器。3位二进制编码器有8个输入端3个输出端,所以常称为8线—3线编码器,其功能真值表见表4.3.2,输入为高电平有效。表4.3.2编码器真值表输入输出I0I1I2I3I4I5I6I7A2A1A0100000000100000000100000000100000000100000000100000000100

7、0000001000001010011100101110111由真值表写出各输出的逻辑表达式为:用门电路实现逻辑电路。图4.3.23位二进制编码器三.优先编码器优先编码器——允许同时输入两个以上的编码信号,编码器给所有的输入信号规定了优先顺序,当多个输入信号同时出现时,只对其中优先级最高的一个进行编码。74148是一种常用的8线-3线优先编码器。其功能如表所示,其中I0~I7为编码输入端,低电平有效。A0~A2为编码输出

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。