可编程器件eda技术与实践试卷6

可编程器件eda技术与实践试卷6

ID:19954325

大小:395.00 KB

页数:4页

时间:2018-10-08

可编程器件eda技术与实践试卷6_第1页
可编程器件eda技术与实践试卷6_第2页
可编程器件eda技术与实践试卷6_第3页
可编程器件eda技术与实践试卷6_第4页
资源描述:

《可编程器件eda技术与实践试卷6》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、华北航天工业学院试题课程名称:可编程器件EDA技术与实践试卷种类:期末考试(A)卷,共5页班级:姓名:学号:成绩:———————————————————————————————一二三四五一、填空题(20分)1.基于可编程器件EDA技术主要包括四大要素,分别为、、、。2.可编程器件分为和。3.CPLD是基于的可编程结构,即由可编程的与阵列和固定的或阵列来完成功能。而FPGA采用结构的可编程结构。4.FPGA由、和三种可编程电路和一个结构的配置存储单元组成。5.是EDA技术的重要组成部分,是电子系统硬件行为描述、结

2、构描述、数据流描述的语言。它的种类很多,如、、。6.VHDL的基本描述语句包括一系列和两大基本描述语句。7.VHDL的库分为两类:和。三、简答题20分(每题5分)1.简述的ASIC概念和特点。2.说明用文本输入方法设计电路的详细流程。1841.简述VHDL程序结构。2.简述WHEN_ELSE条件信号赋值语句和IF_ELSE顺序语句的异同。三、判断下列程序是否有错误,如有则指出错误所在,并为以下两个程序配上相应的实体和结构体。(10分)(10分)程序1:ARCHITECTUREoneOFsampleISVARIA

3、BLEa,b,c:INTEGER;BEGINC<=a+bEND;程序2:signalA,EN:std_logic;process(A,EN)variableB:std_logic;beginifEN=1thenB<=A;endif;endprocess;四、解释程序(30分)要求:1.解释带有下划线的语句。2.画出该程序的原理图符号。3.说明该程序逻辑功能。4.改用WITH_SELECT_WHEN语句编写下列程序。184Libraryieee;Useieee.std_logic_1164.all;entityq

4、k_11isport(a,b,c,d,en:instd_logic;s:instd_logic_vector(1downto0);op:outstd_logic);endqk_11;architecturear_1ofqk_11issignalf:std_logic_vector(2downto0);beginf<=en&s;process(f)begincasefiswhen"100"=>op<=a;when"101"=>op<=b;when"110"=>op<=c;whenothers=>op<=d;end

5、case;endprocess;endar_1;五、编程序(20分)1.具有清零端的4位二进制计数器如下图所示,请用VHDL语言编写其程序。2.用元件例化语句设计如图所示电路。元件为2输入与非门。184184

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。