基于fpga的智能温控风扇

基于fpga的智能温控风扇

ID:19865793

大小:1.27 MB

页数:18页

时间:2018-10-07

基于fpga的智能温控风扇_第1页
基于fpga的智能温控风扇_第2页
基于fpga的智能温控风扇_第3页
基于fpga的智能温控风扇_第4页
基于fpga的智能温控风扇_第5页
资源描述:

《基于fpga的智能温控风扇》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、基于FPGA的智能风扇控制系统基于FPGA的智能风扇控制系统温控风扇在现代社会中的生产以及人们的日常生活中都有广泛的应用,如工业生产中大型机械散热系统中的风扇、现在笔记本电脑上的广泛应用的智能CPU风扇等。该作品设计了基于AtmelCycloneIV的智能温控风扇系统,利用多种传感器采集环境信息。系统实现了对室内温度等质量信息的采集、LCD显示、通信、上位机远程调节处理等功能,保证了室内空气质量检测数据的实时性、可靠性。基于FPGA的智能风扇控制系统该作品具有如下特点:(1)系统采用FPGA作为数据处理的主控器,具有可靠性高、维护、升级方便等特点;(2

2、)同时采用智能手机作为上位机,既利用了手机的便捷性及现阶段人们对手机的依赖性,又能够有效节约开发成本。(3)除可以应用于智能家居外,还可以用于办公场所、蔬菜种植大棚、化工生产车间等需要对空气质量进行评价的场所。基于FPGA的智能风扇控制系统整体系统设计方案系统硬件为Cyclone系列FPGA[5]开发平台,软件开发环境为QuartusII13.0,采用硬件描述语言VHDL完成数据流描述。主要由传感器数据采集模块、蓝牙通信模块、上位机界面显示控制模块、FPGA数据处理模块、液晶显示模块、调节机构等组成。传感器数据采集模块包含温度传感器、烟雾传感器,其将采

3、集到的温度、CO、CO2等数据信息传送给FPGA主控单元,FPGA将获得的数据进行处理,送LCD液晶模块进行显示,同时通过蓝牙无线传输将信息发送给手机,用户可根据手机显示信息,通过手机界面控件对调节机构发出调节命令,调节机构将完成比如电风扇的开关、风速控制、室内室外空气交换等操作。基于FPGA的智能风扇控制系统整体系统设计方案基于FPGA的智能风扇控制系统电路模块设计数据采集模块基于FPGA的智能风扇控制系统电路模块设计数据采集模块pm2.5基于FPGA的智能风扇控制系统电路模块设计FPGA数据处理模块设计DS18B20温度信息处理模块、蓝牙数据处理模

4、块、计时模块、PWM控制模块、步进电机驱动模块、按键消抖模块等基于FPGA的智能风扇控制系统电路模块设计FPGA数据处理模块原理图基于FPGA的智能风扇控制系统电路模块设计通信模块通信采用HC-06从机蓝牙模块,主要用于短距离的数据无线传输领域,可以方便的和PC机或带蓝牙功能的设备相连,也可以两个模块之间的数据互通。避免繁琐的线缆连接,能直接替代串口线,配对后只需当成固定波特率的串口一样使用即可。系统采用该蓝牙模块实现了手机终端和FPGA之间的通讯。基于FPGA的智能风扇控制系统电路模块设计通信模块蓝牙模块和FPGA通信采用串口方式,当没有数据采集和发

5、送时,状态机处于idle(空闲)状态,当RXD接收信号引脚接收到低电平时,状态机转换至adjust状态并判断此信号是属于干扰信号还是起始位,如果是起始位,则状态进入receive状态(接收状态),否则转回idle状态等待;当进入receive状态时,处理完一帧数据后将其并行输出,同时转至发送状态(trans),将数据发送给上位机,并转入idle状态,等待下一次传送。基于FPGA的智能风扇控制系统电路模块设计上位机界面设计基于FPGA的智能风扇控制系统电路模块设计步进电机驱动模块(1)系统通过对步进电机转向的控制来驱动风扇、滤网等调节机构完成室内外空气交

6、换处理,实现改善室内空气质量的目的,步进电机的驱动电路采用硬件描述语言VHDL进行描述。(2)本设计中将步距角设置为45度。脉冲的数量决定了旋转的总角度,脉冲的频率决定了电动机旋转的速度,改变绕组的通电顺序可以改变电机旋转的方向。基于FPGA的智能风扇控制系统电路模块设计步进电机驱动模块流程图基于FPGA的智能风扇控制系统电路模块设计按键消抖模块通常的按键所用开关为机械弹性开关,当机械触点断开、闭合时伴随有一连串的抖动,为了防止这种抖动造成数据错误或误操作,设计了按键消抖模块。基于FPGA的智能风扇控制系统电路模块设计按键消抖模块p1:process(

7、clk)variablea:integerrange0to250001:=0;variableb:std_logic;beginifrising_edge(clk)thenifkey='0'thenifa=250000thenkey_reg<='1';a:=249999;elsea:=a+1;endif;elsekey_reg<='0';a:=0;endif;endif;endprocess;endarchitecture;clk为系统时钟(50MHz)key为按键输入信号Skey_reg为按键有效标志位。基于FPGA的智能风扇控制系统系统调试与验证系

8、统在实验室条件下,基于FPGA开发平台(主芯片:EP4CE6F17C8N),选用了CPU风扇及

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。