基于fpga温控电风扇

基于fpga温控电风扇

ID:13448414

大小:279.50 KB

页数:7页

时间:2018-07-22

基于fpga温控电风扇_第1页
基于fpga温控电风扇_第2页
基于fpga温控电风扇_第3页
基于fpga温控电风扇_第4页
基于fpga温控电风扇_第5页
资源描述:

《基于fpga温控电风扇》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、数字系统课程设计报告1、申请题目:(4号宋体加粗)n题目,命题描述(5号宋体)v智能电风扇v本设计中的智能电风扇控制系统,是指将电风扇的电机转速作为被控制量,由FPGA分析采集到的数字温度信号,对风扇电机的转速进行调节,从而达到无须人为控制便可自动调整风力大小的效果。2、课题背景:n存在问题、应用背景电风扇曾一度被认为是空调产品冲击下的淘汰品,其实并非如此,市场人士称,家用电风扇并没有随着空调的普及而淡出市场,近两年反而出现了市场销售复苏的态势。其主要原因:一是风扇和空调的降温效果不同——空调有强大的制冷功能,可以快速有效地降低环境温度,但电风扇的风更温和,

2、更加适合老人儿童和体质较弱的人使用;二是电风扇有价格优势,价格低廉而且相对省电,安装和使用都非常简单。尽管电风扇有其市场优势,但传统电风扇还是有许多地方应当进行改良的,最突出的缺点是它不能根据温度的变化适时调节风力大小,对于夜间温差大的地区,人们在夏夜使用电风扇时可能遇到这样的问题:当凌晨降温的时候电风扇依然在工作,可是人们因为熟睡而无法察觉,既浪费电资源又容易引起感冒,传统的机械定时器虽然能够控制电风扇在工作一定后关闭,但定时范围有限,且无法对温度变化灵活处理。鉴于以上方面的考虑,我们需要设计一种智能电风扇控制系统来解决这些问题。本设计的前景很广,可以在各

3、大校园进行广泛推广,相信会获得众多大学生的欢迎,尤其是寝室未装空调的各大校园。3、项目规划:n功能、指标、规模v传统电风扇是220V交流电供电,电机转速分为几个档位,通过人为调整电机转速达到改变风力大小的目的,亦即,每次风力改变,必然有人参与操作,这样势必带来诸多不便。v本设计中主要的一环是对温度传感器的设计,当然传感器对温度的变化越敏感越好。本设计中由高到低共设五个档位,当温度上升2度,电风扇自动上升一个档位;当温度下降2度,则自动下降一个档位。当档位处于最高档位时,此时温度上升档位也不会发生变化。同时设置了一个下限温度,当温度低于此下限温度时,电风扇停止

4、工作;而当温度超过此温度时电风扇又将重新启动。n面板(显示)、操作、规则本设计中通过数码管来显示测得的温度。电风扇设置有开关键、reset键和锁存键,开关键控制电机的通电状态,reset键可以使电机的档位回归为最低的档位,锁存键则是可以使电机的档位保存在当前的状态,不随温度的变化而发生变化。n输入、输出接口本设计中输入为数字温度信号,输出的PWM控制波形。数字温度信号是通过温度传感器和模数转换器获得的。根据输入的数字温度信号,利用FPGA进行分析处理输出占空比可调的PWM来控制电机的转速。4、实现方案:n核心问题v本设计中的核心问题为电机的调速控制模块和温度

5、传感器模块。n解决方案v电机的调速控制模块:起初计划用可控硅来实现电机的调速控制,通过控制双向可控硅的导通角,使输出端电压发生改变,从而使施加在电风扇的输入电压发生改变,以调节风扇的转速,实现各档位风速的无级调速。但是后来发现这样做的话整个系统就基本上不用通过FPGA来控制了,这与我们课程设计的初旨是相违背的。于是后来采用了PWM来控制电机的转速,这样不仅避免了搭建外设的麻烦,而且符合课程的设计初旨。v温度传感器模块:此模块尝试了两种方案,分别是DS18B20传感器和PT100铂电阻传感器。关于DS18B20传感器,其输出为9~12位的数字信号,这样就可以避

6、免AD转化的过程,但实际上DS18B20非常的难以控制,其驱动代码非常的麻烦,最终放弃这种方案。而至于PT100铂电阻传感器,我们可以利用铂电阻的阻值与温度成正比的关系来设计外围电路,再通过AD转化来得到8位数字温度信号。5、系统结构:n系统框图n模块功能描述1)温度传感器模块:本实验中采用PT100铂电阻温度传感器进行测温,铂电阻的阻值与温度成正比,可以用电桥法将传感器的阻值变化量转化为电压信号,再将电压信号通过ADC0809进行转换,从而得到8位的数字温度信号2)温度显示模块:根据PT100型铂热电阻分度表对温度传感器输出的8位数字信号进行译码,由于在本

7、次设计中采用的是4位的数码管,所以将温度信号译为8位的BCD码,从而显示出当前的温度。3)开关输入模块:电风扇设置有开关键、reset键和锁存键,开关键控制电机的通电状态,reset键可以使电机的档位回归为最低的档位,锁存键则是可以使电机的档位保存在当前的状态,不随温度的变化而发生变化。4)FPGA控制模块:使用VerilogHdl语言来实现整个电风扇的状态控制。根据开始工作时的温度来设定温度值的上下限。若温度超出了上下限,则进入相应的状态内,此时重新设定温度值的上下限。当温度低于一定的值时,则电风扇自动停止工作;而当温度高于这个值时,电风扇自动重新工作。当

8、档位处于最高档位时,此时温度上升档位也不会发生变化。

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。