实验五 dds信号发生器设计

实验五 dds信号发生器设计

ID:19501631

大小:90.50 KB

页数:6页

时间:2018-10-02

实验五  dds信号发生器设计_第1页
实验五  dds信号发生器设计_第2页
实验五  dds信号发生器设计_第3页
实验五  dds信号发生器设计_第4页
实验五  dds信号发生器设计_第5页
资源描述:

《实验五 dds信号发生器设计》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、实验五DDS信号发生器的设计(1)实验目的:学习利用EDA技术和FPGA实现直接数字频率综合器DDS的设计。(2)实验原理:直接数字频率综合技术,即DDS技术,是一种新型的频率合成技术和信号产生方法。其电路系统具有较高的频率分辨率,可以实现快速的频率切换,并且在改变时能够保持相位的连续,很容易实现频率、相位和幅度的数控调制。fSIN=M(fclk/2n)DDS基本结构相位累加器位宽和采样点关系【例11-12】LIBRARYieee;--波形数据ROMUSEieee.std_logic_1164.all;LIBRARYaltera_mf;USEalter

2、a_mf.altera_mf_components.all;ENTITYdata_romISPORT(address:INSTD_LOGIC_VECTOR(9DOWNTO0);locatedintheTomb,DongShenJiabang,deferthenextdayfocusedontheassassination.Linping,Zhejiang,1ofwhichliquorwinemasters(WuzhensaidinformationisCarpenter),whogotAfewbayonets,duetomissedfatal,when

3、nightcameinclock:INSTD_LOGIC;q:OUTSTD_LOGIC_VECTOR(7DOWNTO0));ENDdata_rom;...init_file=>"./data/rom_data.mif",--波形数据初始化文件路径lpm_hint=>"ENABLE_RUNTIME_MOD=YES,INSTANCE_NAME=rom2",...END;【例11-13】LIBRARYIEEE;--32位加法器模块USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;ENTITYA

4、DDER32BISPORT(A:INSTD_LOGIC_VECTOR(31DOWNTO0);B:INSTD_LOGIC_VECTOR(31DOWNTO0);S:OUTSTD_LOGIC_VECTOR(31DOWNTO0));ENDADDER32B;ARCHITECTUREbehavOFADDER32BISBEGINS<=A+B;ENDbehav;【例11-14】--32位寄存器模块LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;ENTITYREG32BISPORT(Load:INSTD_LOGIC;DIN:INSTD_LO

5、GIC_VECTOR(31DOWNTO0);DOUT:OUTSTD_LOGIC_VECTOR(31DOWNTO0));ENDREG32B;ARCHITECTUREbehavOFREG32BISBEGINPROCESS(Load,DIN)BEGINIFLoad'EVENTANDLoad='1'THEN--时钟到来时,锁存输入数据DOUT<=DIN;ENDIF;ENDPROCESS;ENDbehav;locatedintheTomb,DongShenJiabang,deferthenextdayfocusedontheassassination.Linpi

6、ng,Zhejiang,1ofwhichliquorwinemasters(WuzhensaidinformationisCarpenter),whogotAfewbayonets,duetomissedfatal,whennightcame【例11-15】rom_data.mif10位正弦波数据文件,读者可用MATLAB/DSPBuilder生成WIDTH=10;DEPTH=1024;ADDRESS_RADIX=DEC;DATA_RADIX=DEC;CONTENTBEGIN0:513;1:515;2:518;3:521;4:524;5:527;6:5

7、30;7:533;8:537;9:540;10:543;11:546;13:549;13:552;14:555;......(略去部分数据)1018:493;1019:496;1020:499;1021:502;1022:505;1023:508;END;(3)实验内容1:详细述叙DDS的工作原理,依据例11-12至例11-15完成仿真,并由仿真结果进一步说明DDS的原理。完成编译和下载。选择模式1;键2、键1输入8位频率字FWORD;利用GW48系统ADDA板输出波形,用示波器观察输出波形。(4)实验内容2:根据图11-36,在原设计(图11-38)

8、中加入相位控制电路,用键4、键3输入8位相位字PWORD;重复实验1的内容。(5)实验内容3:

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。