基于vhdl语言的波形发生器的设计

基于vhdl语言的波形发生器的设计

ID:18981042

大小:793.00 KB

页数:31页

时间:2018-09-27

基于vhdl语言的波形发生器的设计_第1页
基于vhdl语言的波形发生器的设计_第2页
基于vhdl语言的波形发生器的设计_第3页
基于vhdl语言的波形发生器的设计_第4页
基于vhdl语言的波形发生器的设计_第5页
资源描述:

《基于vhdl语言的波形发生器的设计》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、专业课程设计报告题目:波形发生器的设计姓名:汤姝专业:通信工程班级学号:09042304同组人:09042308杨勇指导教师:刘敏南昌航空大学信息工程学院2012年6月28日专业课程设计任务书2011-2012学年第2学期 第17周-20周题目波形发生器的设计内容及要求用CPLD可编程模块产生下列信号(特殊芯片:EPM570T100C5)(1)采用VHDL编写相关程序,能产生递增斜波、递减斜波、阶梯波、正弦波、方波、三角波;(2)用户能够选择输出所需其中一种波形。进度安排17周:查找资料,进行系统方案设计;18周:软件

2、的分模块调试;19周:系统联调;20周:设计结果验收,报告初稿的撰写。学生姓名:汤姝指导时间:第17~20周指导地点:E楼607室任务下达2012年6月4日任务完成2012年6月29日考核方式1.评阅√□2.答辩√□3.实际操作√□4.其它√□指导教师刘敏系(部)主任付崇芳摘要各种各样的信号是通信领域的重要组成部分,其中递增斜波、递减斜波、阶梯波、正弦波、方波、三角波等是较为常见的信号。在科学研究及教学实验中常常需要这几种信号的发生器。为了试验、研究方便,研制一种灵活使用、功能齐全、使用方便的信号源是十分必要的。本次设

3、计的波形发生器是一种能够产生递增斜波、递减斜波、阶梯波、正弦波、方波、三角波的信号发生器。设计可以通过硬件和软件两种方法实现,本次实验中通过QuartusII软件编程软件的方法,运用VHDL语言与原理图混合设计的方法,VHDL语言描述底层模块,原理图设计方法设计顶层原理图文件。实验分模块设计,便于调试及错误的查找。实验运用VHDL语言编写程序,实现了各波形的产生,并且最后通过6选1多路开关的设计,实验了对需要波形的选择。关键词:波形发生器VHDLQuartusII原理图多路开关目录第一章波形发生器设计内容及要求11.1

4、设计内容11.2设计要求1第二章波形发生器系统框图及工作原理与设计22.1系统框图22.2设计平台与仿真工具22.3工作原理与设计2第三章系统调试与分析113.1系统调试113.2结果分析11第四章结论18参考文献19附录一原理图20附录二程序清单21波形发生器的设计第一章波形发生器设计内容及要求1.1设计内容实验设计波形发生器是一种能够产生递增斜波、递减斜波、阶梯波、正弦波、方波、三角波的电路。实验中通过QuartusII软件编程,运用VHDL语言与原理图混合设计的方法,VHDL语言描述底层模块,原理图设计方法设计顶

5、层原理图文件。实验分模块设计,在完成一个模块时即对其进行调试修改,防止了最后模块综合时存在调试困难的情况出现。如果是对元件例化相对较熟练的同学,也可采用元件例化的方法进行程序的编写。但在此过程中,应特别注意VHDL语言的运用,以防语法错误导致影响实验结果。实验最后将程序下载到芯片EPM570T100C5中,供实际运用。1.2设计要求用CPLD可编程模块产生下列信号(特殊芯片:EPM570T100C5)1、采用VHDL编写相关程序,能产生递增斜波、递减斜波、阶梯波、正弦波、方波、三角波;2、用户能够选择输出所需其中一种波

6、形。27波形发生器的设计第二章波形发生器系统框图及工作原理与设计2.1系统框图波形发生器由各波形发生模块及6选1多路开关构成,时钟信号对其作用产生输出波形信号,系统框图如图2.1所示。图2.1系统框图2.2设计平台与仿真工具QuartusII软件包是MAX+plusII的升级版本,其提供了一个完整高效的设计环境,非常适合具体的设计需求。提供了方便的设计输入方式、快速的编译和直接易懂的器件编程,属于EDA工具。EDA技术的基本特征是采用高级语言描述,具有系统级仿真和综合能力。它主要采用并行工程和“自顶向下”的设计方法,这

7、种设计方法首先从系统设计入手,在顶层进行功能方框图的划分和结构的设计。在方框图一级进行仿真、纠错,并用硬件描述语言对高层次的系统行为进行描述,在系统一级进行验证。然后用综合优化工具生成具体电路的网表,其对应得物理实现级可以是印刷电路板或专用集成电路。由于设计的主要仿真和调试过程是在高层次上完成的,这不仅有利于早起发现结构设计上的错误,避免设计工作的浪费,而且也减少了逻辑功能仿真的工作量,提高了设计的一次成功率。本实验中是多个模块的相互联系作用,采用了VHDL与原理图混合设计的方式进行设计。各模块设计最后,为了能在图形编

8、辑器中调用元件,需要为该元件创建一个元件图形符号。2.3工作原理与设计27波形发生器的设计2.3.1递增波形递增波形的设计运用的是VHDL语言中if语句对其进行0-255的序列递增来实现的,每等待一个时钟上升沿,计数器加1,故输出信号周期为256倍时钟周期。程序主要语句如下所示:iftmp="11111111"thentmp:="

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。