eda课设设计报告new

eda课设设计报告new

ID:18825439

大小:180.35 KB

页数:22页

时间:2018-09-21

eda课设设计报告new_第1页
eda课设设计报告new_第2页
eda课设设计报告new_第3页
eda课设设计报告new_第4页
eda课设设计报告new_第5页
资源描述:

《eda课设设计报告new》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、EDA课程设计目录1、概述……………………………………(4)2、设计要求………………………………(4)3、设计内容………………………………(5)4、方案设计与原理分析…………………(6)5、锁存译码设计…………………………(9)6、报警信号发生器………………………(16)7、密码锁控制电路仿真结果及下载分析(18)8、心得体会………………………………(22)第21页EDA课程设计电子技术综合任务书姓名学号学院班级题目简易14位数字密码锁控制电路设计设计任务1、基本要求(1)、14位数字密码分成高7位(DH6….DH0)和低7位(DL6….DL0),用数字逻辑开关预置,输

2、出信号out为1表示开锁,否则关闭。;(2)、14位数字密码分时操作,先预置高7位,然后再置入低7位,(3)要求电路工作可靠,保密性强,开锁出错立即报警,(4)、利用MAXPLUS2软件进行设计、编译、并在FPGA芯片上实现;(5)、14位密码自己设定。时间进度总体时间为1周;星期一上午:讲解;星期一下午:理解电路原理;星期二下午:编辑源程序设计电路;星期四下午:验收电路图,检验程序是否正确;星期五之前:上交课程设计报告;主要参考文献【1】刘爱荣、王振成。EDA技术与CPLD︱FPGA开发应用简明教程。北京:清华大学出版社;【2】刘吕华。数字逻辑EDA设计与实践。北京:

3、国防工业出版第21页EDA课程设计第21页EDA课程设计概述现在EDA技术应用广泛,包括在机械、电子、通信、航空航天、化工、矿产、生物、医学、军事等各个领域,都有EDA的应用。目前EDA技术已在各大公司、科研和教学部门广泛使用。在产品设计与制造方面,EDA技术可实现前期的计算机仿真、系统级模拟及测试环境的仿真、PCB的制作、电路板的焊接、ASIC的设计等。EDA是随着集成电路和计算机技术的飞速发展应运而生的一种高级、快速、有效的电子设计自动化工具。它是为解决自动控制系统设计而提出的,从七十年代经历了计算机辅助设计CAD,计算机辅助工程CAE,电子系统设计自动化ESDA三

4、个阶段。前两个阶段的EDA产品都只是个别或部分的解决了电子产品设计中的工程问题;第三代EDA工具根据工程设计中的瓶颈和矛盾对设计数据库实现了统一管理,并提供了并行设计环境概念,提供了独立与工艺和厂家的系统级的设计工具。2、设计要求1、14位数字密码分成高7位(DH6….DH0)和低7位(DL6…第21页EDA课程设计.DL0),用数字逻辑开关预置,输出信号out为1表示开锁,否则关闭。2、14位数字密码分时操作,先预置高7位,然后再置入低7位,(顶层电路可参考图1)。3、要求电路工作可靠,保密性强,开锁出错立即报警,(用声光两种形式同时报警)。4、利用MAXPLUS2软

5、件进行设计、编译、并在FPGA芯片上实现。5、设计14位密码自己设定。比如:“10010010011100”。本次采用:前两位+本人学号倒数3位数字(3位十进制数用BCD码表示)作为设计密码。前两位分配:一班是01,二班是10,三班是11,四班是00学号:201000484112(高七位)(低七位)01000100010010本人密码:01000100010010第21页EDA课程设计3、设计内容设计简易14位数字密码模块ic9a模块,框图见下图,模块IC9A设计采用VHDL实现。设计一个报警信号电路(频率:100khz,输出占空比为0.)作为CP报警用,方法不限。时钟

6、由实验箱CP2中的4096HZ提供。在ic9a模块基础上设计14位数字密码锁的顶层电路。提高部分(选作):增加密码修改功能。4、方案设计与原理分析第21页EDA课程设计密码锁控制电路原理图:①先清零→置入D6-D0低位密码→②按下CLK→低7位被存在IC9A1的锁存器中→③置高7位密码→OUT2=1,表示开锁密码正确→OUTB=1→标志输入正确密码。④按下CLK1→这时锁前的Q端控制三态门开锁OUTA=1.如果密码不正确,则报警。密码锁控制电路原理图分析:FP201分频器上图第21页EDA课程设计CLK2:分频器脉冲输入信号,CLR2为分频器的清零信号,FP201为20

7、分频的分频器,OUT3输出分频信号用于输出合适的频率使报警灯光能够闪烁。IC9A1锁存译码电路与前端连接图CLK:置低7位密码控制脉冲,CLR:清零IC9A1、D触发器。CLK1:开锁控制信号和控制分频器输出脉冲是否输出到声报警器。OUTB:密码正确指示灯。IC9A1前的二输入与门:保证开锁可靠,保证单次触发。IC9A1中的D[6..0]具有低七位自锁功能。OUT2:当密码正确输出高电平,错误则输出低电平。整个电路来说:CLR和CLR2开始置0,使分频器,D触发器和ic9a清零,当CLK为1,IC9A1前的二输入与门另一信号相与后为1,使

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。