数字电路综合设计实验报告文档

数字电路综合设计实验报告文档

ID:18717188

大小:792.99 KB

页数:14页

时间:2018-09-21

数字电路综合设计实验报告文档_第1页
数字电路综合设计实验报告文档_第2页
数字电路综合设计实验报告文档_第3页
数字电路综合设计实验报告文档_第4页
数字电路综合设计实验报告文档_第5页
资源描述:

《数字电路综合设计实验报告文档》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、数字电路综合设计课程报告 姓名:学号:班级:201205301数字电路综合设计目录一.设计题目1二.设计要求及技术指标12.1实验目的12.2实验要求12.3实验原理2三.方案选择及总体设计23.1方案选择23.2总体设计23.2.1信号生成23.2.2分频23.2.3信号计数编码23.2.4信号译码输出33.3功能描述33.4总体结构框图4四.硬件介绍4五.软件设计45.1基本思路45.2程序框图55.3子程序设计(代码)及仿真波形及分析55.3.1分频器55.3.2计数器75.3.3译码器85.4主程序设计及仿真波形及分析9六.调试及相关说明10七.结束语11数字电路综合设计一.设计题目基

2、于QuartusII的流水灯设计仿真二.设计要求及技术指标2.1实验目的通过本次实验,引导学生以计算机辅助设计的手段来设计数字逻辑电路;掌握QuartusII集成开发环境软件原理图输入的设计流程;掌握简单流水灯的工作原理,学会通过QuartusII建立原理图设计小型数字电路;掌握可编程逻辑器件(PLD)的开发步骤;掌握对设计进行编译、仿真的方法。流水灯工作效果如下:2.2实验要求用逻辑电路控制8个LED灯,始终保持1亮7暗,亮灯从左到右,在脉冲信号CP的推动下循环流动。11数字电路综合设计2.3实验原理利用信号生成器生成固定频率信号,降低频率后对信号累计编码,对累计编码的信号译码后送给LED灯

3、显示。三.方案选择及总体设计3.1方案选择使用QuartusII6.0设计系统,应用VHDL语言编程构造所需器件,需要的器件有分频器、计数器、译码器,组装好后,编译仿真,锁定引脚下载到开发板。3.2总体设计分为三个大的模块,分别为分频器、计数器、译码器。使用分频器将晶振送来的高频信号转化为低频,用计数器记录时钟周期产生三位的二进制码,使用3-8线译码器输出高电平有效的信号,从而控制LED灯。3.2.1信号生成使用开发板自带的晶振产生原始信号,但是频率较高需要降低频率(分频)。3.2.2分频使用一个较淡的分频逻辑器件分频,原理为输入信号多个周期产生一个输出周期。3.2.3信号计数编码11数字电路

4、综合设计对于分频后的信号,并不能直接用于控制LED灯,需要技术产生二进制代码,译码后控制LED灯。计数器可以对输入信号累加计数,由于最后的LED灯数目为8个,23=8,故需要产生3位的二进制码,范围从000到111。3.2.4信号译码输出经过编码的信号从000到111依次循环,可利用3-8线译码器,产生译码输出信号,又由于要求的是有一个灯亮,故输出信号选为高电平有效。输入与输出信号对应关系如下表:输入信号输出信号00000000001001000000100100000010001100001000100000100001010010000011001000000111100000003.3功

5、能描述8个LED灯,有一个灯亮着从左到右,一轮过后再次循环,其他灯则灭。11数字电路综合设计3.4总体结构框图四.硬件介绍计算机、开发板、开发板上面的芯片为MAXII系列的EPM240T100C5。五.软件设计5.1基本思路利用分频器对晶振产生的信号进行分频使频率降低,计数器对信号累加,产生三位的信号(从000到111),再利用三八线译码器对计数器产生的信号译码,产生高电平有效地信号(从00000001到10000000),将引脚锁定,下载到开发板。11数字电路综合设计5.2程序框图从左到右依次为:晶振信号输入、分频器、计数器、译码器、LED灯输出。5.3子程序设计(代码)及仿真波形及分析5.

6、3.1分频器代码:libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entitydivideisport(clk:instd_logic;cp:outstd_logic);end;architecturedivideofdivideissignalclock:std_logic;begincp<=clock;11数字电路综合设计process(clk,clock)isvariablecount:integerrange0to999999;beginif(clk'eventandclk='1')thenif

7、(count=999999)thenclock<=notclock;count:=0;elsecount:=count+1;endif;endif;endprocess;enddivide;仿真:为了在仿真中便于观察,将分频周期中999999改为6,将divide.vhd设为顶层文件,编译,新建一个波形文件,将端口导入,选择“功能仿真”、新建网表,为输入指定值,仿真后波形图如下:分析:11数字电路

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。