eda技术课程设计报告-键盘扫描与数码管及点阵显示设计

eda技术课程设计报告-键盘扫描与数码管及点阵显示设计

ID:18548917

大小:1.60 MB

页数:30页

时间:2018-09-19

eda技术课程设计报告-键盘扫描与数码管及点阵显示设计_第1页
eda技术课程设计报告-键盘扫描与数码管及点阵显示设计_第2页
eda技术课程设计报告-键盘扫描与数码管及点阵显示设计_第3页
eda技术课程设计报告-键盘扫描与数码管及点阵显示设计_第4页
eda技术课程设计报告-键盘扫描与数码管及点阵显示设计_第5页
资源描述:

《eda技术课程设计报告-键盘扫描与数码管及点阵显示设计》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、课程设计课程名称EDA技术课题名称键盘扫描与数码管及点阵显示设计专业电子科学与技术班级0802学号*******姓名***指导教师***2011年6月20日湖南工程学院课程设计任务书课程名称:EDA技术题目:键盘扫描与数码管及点阵显示设计专业班级:电科0802学号:**学生姓名:***指导老师:***审批:任务书下达日期2011年6月13日设计完成日期2011年6月20日设计内容与设计要求一.设计内容:1.设计并调试键盘扫描与数码管显示电路;2.键盘为3*4,数码管为7段8位;3.以数字形式显示键盘16个输入键的识别;

2、4.外设置控制开关和防抖动电路;5.功能扩展(自选):彩灯状态的转换以及点阵字符的显示二.设计要求:1.设计思路清晰,整体设计给出框图,提供顶层电路图;2.应用vhdl完成各次级模块设计,绘出具体设计程序;3.完成设计仿真和程序下载;4.写出设计报告主要设计条件1.提供所需元件及芯片;2.提供电源和调试设备;3.提供EWB设计软件说明书格式1.课程设计封面;2.设计仿真;3.编程下载;4.总结与体会;5.附录;6.参考文献。7.任务书;8.说明书目录;9.设计总体思路;10.单元电路设计程序;进度安排月日~日课题电路设

3、计。月日~日总体电路设计和子模块设计月日~日软件仿真和联线。月日~日电路调试月日写设计报告,打印相关图纸,月日答辩;参考文献 一.《电子技术与EDA技术课程设计》郭照南主编中南大学出版社目录第1章总体方案设计分析11.1基本设计思路11.2总体框图1第2章各级模块设计与分析22.1键盘扫描程序设计及仿真22.2点阵显示程序设计及仿真52.3彩灯显示程序设计及仿真112.4数码管扫描程序设计及仿真14第3章系统总电路设计与仿真163.1系统总电路模块图163.2总电路仿真波形163.3系统总体电路图17第4章软件的调试与

4、下载184.1引脚锁定184.2引脚锁定后总电路图194.3程序下载界面194.4系统总体仿真结果20第5章总结与体会21第6章参考文献22第7章附录237.1点阵字符显示效果图237.2彩灯显示结果2324第1章总体方案设计分析1.1基本设计思路首先利用键盘扫描程序完成键盘扫描及按键输出值的输出,同时在其中加入按键消抖程序,以保证按键每次按下的键值都是有效准确的理想输出信号。同时通过按键扫描的输出定义两个控制信号量(程序中即xuanze和dz两信号)以通过按键的按下来达到控制彩灯状态切换以及点阵字符显示切换的目的。其

5、中,定义了两个彩灯控制信号,以用来选择彩灯模块中两种彩灯显示状态的切换,而点阵控制信号则定义了五个状态以控制点阵显示的五个状态的切换。从而整个系统则通过键盘扫描程序中的两个控制信号连接成了一个整体。最后就将键盘扫描的输出信号连接到数码管显示模块,彩灯模块连接到8个led灯,而点阵模块的输出则接入到点阵上。再在现有的基础上加入清零信号cr。综上,整个系统的功能即得以实现。1.2总体框图图1.1系统总体框图24第2章各级模块设计与分析2.1键盘扫描程序设计及仿真2.1.1基本设计思路本模块采用行输入,列输出,并定义一个五位

6、的矢量信号count,此矢量通过时钟脉冲的输入计数,并且定义一个信号量sel并取其中间两位,以此两位构成的四个状态进行键盘列扫描,同时再在另外一个进程中通过三个条件语句的选择判断以确定三个行键中哪个按下,并结合列扫描中sel所取的四个状态共同连接赋值给一个四位矢量knum,并由此确定了键盘的12个状态。最后利用另外一个进程中对knum值的判断从而确定对应的按键值的输出,以及其他各控制键值的信号输出(其中包括,控制彩灯状态的控制信号xuanze,以及控制点阵显示状态的控制信号dz)。2.1.2键盘扫描模块图图2.1.1键

7、盘扫描模块图图中clk接时钟脉冲,cr是复位端,kin1,kin2,kin3为行扫描输入,xuanze为彩灯控制信号,dz为点阵控制信号,keyv为列输出,keycode为按键显示数值输出。242.1.3键盘扫描程序libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;useieee.std_logic_arith.all;entitykeyscanisport(clk,cr:instd_logic;--扫描时钟输入kin1,kin2

8、,kin3:instd_logic;--按键行扫描输入xuanze:outstd_logic_vector(1downto0);dz:outstd_logic_vector(2downto0);keyv:outstd_logic_vector(3downto0);--按键列扫描keycode:outstd_logic_vector

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。