采用等精度测频原理的频率计的设计

采用等精度测频原理的频率计的设计

ID:16212047

大小:221.50 KB

页数:10页

时间:2018-08-08

采用等精度测频原理的频率计的设计_第1页
采用等精度测频原理的频率计的设计_第2页
采用等精度测频原理的频率计的设计_第3页
采用等精度测频原理的频率计的设计_第4页
采用等精度测频原理的频率计的设计_第5页
资源描述:

《采用等精度测频原理的频率计的设计》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、采用等精度测频原理的频率计的设计一.设计要求1.设计一个用等精度测频原理的频率计。2.频率测量测量范围1~9999;3.用4位带小数点数码管显示其频率;二.测频原理及误差分析1.常用的直接测频方法主要有测频法和测周期法两种。2.测频法就是在确定的闸门时间Tw内,记录被测信号的变化周期数(或脉冲个数)Nx,则被测信号的频率为:fx=Nx/Tw。3.测周期法需要有标准信号的频率fs,在待测信号的一个周期Tx内,记录标准频率的周期数Ns,则被测信号的频率为:fx=fs/Ns。4.这两种方法的计数值会产生±1个

2、字误差,并且测试精度与计数器中记录的数值Nx或Ns有关。为了保证测试精度,一般对于低频信号采用测周期法;对于高频信号采用测频法,因此测试时很不方便,所以人门提出等精度测频方法。5.等精度测频方法是在直接测频方法的基础上发展起来的。6.它的闸门时间不是固定的值,而是被测信号周期的整数倍,即与被测信号同步,因此,测除了对被测信号计数所产生±1个字误差,并且达到了在整个测试频段的等精度测量。等精度测频原理波形图7.在测量过程中,有两个计数器分别对标准信号和被测信号同时计数。8.首先给出闸门开启信号(预置闸门上

3、升沿),此时计数器并不开始计数,而是等到被测信号的上升沿到来时,计数器才真正开始计数。然后预置闸门关闭信号(下降沿)到时,计数器并不立即停止计数,而是等到被测信号的上升沿到来时才结束计数,完成一次测量过程。可以看出,实际闸门时间τ与预置闸门时间τ1并不严格相等,但差值不超过被测信号的一个周期9.设在一次实际闸门时间τ中计数器对被测信号的计数值为Nx,对标准信号的计数值为Ns。10.标准信号的频率为fs,则被测信号的频率为11.由式(1)可知,若忽略标频fs的误差,则等精度测频可能产生的相对误差为12.δ

4、=(

5、fxc-fx

6、/fxe)×100%(2)13.其中fxe为被测信号频率的准确值。14.δ=

7、ΔNs

8、/Ns≤1/Ns=1/(τ·fs)n由上式可以看出,测量频率的相对误差与被测信号频率的大小无关,仅与闸门时间和标准信号频率有关,即实现了整个测试频段的等精度测量。n闸门时间越长,标准频率越高,测频的相对误差就越小。n标准频率可由稳定度好、精度高的高频率晶体振荡器产生,在保证测量精度不变的前提下,提高标准信号频率,可使闸门时间缩短,即提高测试速度。等精度测频的实现方法可简化为下图所示的框图三.设计步骤

9、nCNT1和CNT2是两个可控计数器,标准频率(fs)信号从CNT1的时钟输入端CLK输入;经整形后的被测信号(fx)从CNT2的时钟输入端CLK输入。n每个计数器中的CEN输入端为时钟使能端控制时钟输入。n当预置门信号为高电平(预置时间开始)时,被测信号的上升沿通过D触发器的输出端,同时启动两个计数器计数;同样,当预置门信号为低电平(预置时间结束)时,被测信号的上升沿通过D触发器的输出端,同时关闭计数器的计数。系统组成n系统由分频器、计数器1、计数器2、D触发器等组成。n分频器出来的信号作为等精度测频

10、原理的预置闸门信号。n其中D触发器,计数器2和计数器1的作用与前2页图中所示相同。n运算模块就是完成公式(1)的运算。n复位主要对分频、计数器1和计数器2进行清零操作。采用等精度测频原理的频率计的程序与仿真--文件名:PLJ.vhd。--功能:4位显示的等精度频率计。--最后修改日期:2004.4.14。libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;useieee.std_logic_arith.all;ent

11、ityPLJisport(clk:instd_logic;--基准时钟(10KHz)tclk:instd_logic;--被测信号start:instd_logic;--复位信号alarm0,alarm1:outstd_logic;--超量程,欠量程显示dian:outstd_logic_vector(3downto0);--小数点data1:outintegerrange0to9999);--频率数据endPLJ;architecturebehavofPLJissignalq:integerrange

12、0to9999;--预置闸门分频系数signalq1:integerrange0to10000;--被测信号计数器signalq2:integerrange0to20000;--基准信号计数器signalen,en1:std_logic;--预置闸门,实际闸门signalqq,qqq:integerrange0to200000000;--运算器signaldata0:integerrange0to9999;--频率数据中间信号beginpr

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。