多功能数字电子钟

多功能数字电子钟

ID:16202796

大小:768.92 KB

页数:27页

时间:2018-08-08

多功能数字电子钟_第1页
多功能数字电子钟_第2页
多功能数字电子钟_第3页
多功能数字电子钟_第4页
多功能数字电子钟_第5页
资源描述:

《多功能数字电子钟》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、课程设计报告设计题目:多功能数字电子钟所属院系:计算机与控制工程学院专业:软件工程班级:133-1小组成员:刘壮谢磊张慧慧指导教师:沈春华

2、整体电路图如下27设计要求1.进行正常的时、分、秒计时功能,二十四小时制计时2.由数码管显示24h、60min、60s3.设置时间4.整点报时5.闹钟功能一.设计实现功能该数字电子钟能够实现时、分、秒计时功能;校准时和分的功能;校准时间时秒清零的功能;整点报时的功能;

3、整体电路图如下27一.各个设计模块描述(一)计时模块1.秒计数是由一个六十进制的计数器构成,生成元器件如下Clk:驱

4、动秒计时器的时钟信号Clr:校准时间时清零的输入端En:使能端Sec0[3..0]sec1[3..0]:秒的高位显示,低位显示Co:进位输出端,作为分的clk输入代码如下:libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entitysecondisport(clk,clr,en:instd_logic;sec0,sec1:outstd_logic_vector(3downto0);co:outstd_logic);

5、整体电路图如下

6、27endsecond;architecturesecofsecondisSIGNALcnt1,cnt0:std_logic_vector(3downto0);beginprocess(clk)beginif(clr='0')thencnt0<="0000";cnt1<="0000";elsif(clk'eventandclk='1')thenif(en='1')thenifcnt1="0101"andcnt0="1000"thenco<='1';cnt0<="1001";elsifcnt0<"1001"thencnt0

7、<=(cnt0+1);elsecnt0<="0000";ifcnt1<"0101"thencnt1<=cnt1+1;elsecnt1<="0000";co<='0';endif;endif;endif;endif;sec1<=cnt1;sec0<=cnt0;endprocess;

8、整体电路图如下27endsec;仿真图如下:2.分计数是由六十进制的计数器构成,生成元器件如下

9、整体电路图如下27Clk:设置分输入和秒进位的或输入En:使能输入Min1[3..0]min0[3..0]:分的高位显示,低位显示Co:向时的进位输

10、出代码如下:libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entityminuteisport(clk,en:instd_logic;min1,min0:outstd_logic_vector(3downto0);co:outstd_logic);endminute;architectureminofminuteisSIGNALcnt1,cnt0:std_logic_vector(3downto0);beginprocess(c

11、lk)beginif(clk'eventandclk='1')thenifen='1'thenifcnt1="0101"andcnt0="1001"thenco<='1';cnt0<="0000";cnt1<="0000";elsifcnt0<"1001"thencnt0<=(cnt0+1);else

12、整体电路图如下27cnt0<="0000";cnt1<=cnt1+1;co<='0';endif;endif;endif;min1<=cnt1;min0<=cnt0;endprocess;endmin;仿真图如下:

13、整体电

14、路图如下273.时计数是由二十四进制的计数器构成,生成元器件如下Clk:设置时间输入和分进位输入的或en:使能端h1[3..0]h0[3..0]:时的高位显示和低位显示代码如下:libraryieee;useieee.std_logic_1164.all;useieee.std_logic_unsigned.all;entityhourisport(clk,en:instd_logic;h1,h0:outstd_logic_vector(3downto0));endhour;architecturebehaofhouri

15、ssignalcnt1,cnt0:std_logic_vector(3downto0);beginprocess(clk)begin

16、整体电路图如下27if(clk'eventandclk='1')thenifen='1'thenifcnt1="0010"andcnt0="0011"thencnt1<="0000";

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。