毕业设计(论文)-基于vhdl的数字闹钟设计

毕业设计(论文)-基于vhdl的数字闹钟设计

ID:14795139

大小:479.00 KB

页数:20页

时间:2018-07-30

毕业设计(论文)-基于vhdl的数字闹钟设计_第1页
毕业设计(论文)-基于vhdl的数字闹钟设计_第2页
毕业设计(论文)-基于vhdl的数字闹钟设计_第3页
毕业设计(论文)-基于vhdl的数字闹钟设计_第4页
毕业设计(论文)-基于vhdl的数字闹钟设计_第5页
资源描述:

《毕业设计(论文)-基于vhdl的数字闹钟设计》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、毕业设计(论文)论文题目:基于VHDL的数字闹钟设计所属系部:指导老师:职称:学生姓名:班级、学号:专业:20毕业设计(论文)任务书题目:基于VHDL的数字闹钟设计任务与要求:设计一个带闹钟功能的24小时计时器。完成功能:1.计时功能:每隔1分钟计时1次,并在显示屏上显示当前时间。2.闹钟功能:如果当前时间与设置的闹钟时间相同,扬声器发出蜂鸣声。时间:年月日至年月日共周所属系部:学生姓名:学号:专业:指导单位或教研室:指导教师:职称:毕业设计(论文)进度计划表20日期工作内容执行情况指导教师签字10月08日至10月09日论文选题完成10月10日至10月17日查找并搜集论

2、文材料完成10月18日至11月08日提交论文大纲给指导老师,并进行修改完成9月10日至10月10日拟定论文提纲及框架,编辑论文正文内容完成10月11日至10月21日对论文进行排版,修正完成10月22日至12月14日提交论文给指导老师,并进行修改完成12月15日打印论文,交论文初稿完成教师对进度计划实施情况总评                 签名                      年月日本表作评定学生平时成绩的依据之一。基于VHDL的数字闹钟设计【摘要】20随着EDA技术的发展和应用领域的扩大与深入,EDA技术在电子信息、通信、自动控制及计算机应用领域的重要性日

3、益突出。EDA技术就是依赖功能强大的计算机,在EDA工具软件平台上,对以硬件描述语言VHDL为系统逻辑描述手段完成的设计文件,自动地完成逻辑优化和仿真测试,直至实现既定的电子线路系统功能。本文介绍了基于VHDL硬件描述语言设计的多功能数字闹钟的思路和技巧。在Quartus11开发环境中编译和仿真了所设计的程序,并逐一调试验证程序的运行状况。仿真和验证的结果表明,该设计方法切实可行,该数字闹钟可以实现调时定时闹钟功能具有一定的实际应用性。关键词:数字闹钟FPGAVHDLQuartusIIAbstract:WiththeEDAtechnologydevelopmentand

4、expansionofapplicationfieldsandin-depth,EDAtechnologyintheelectronicinformation,communication,automaticcontrolandcomputerapplicationsofgrowingimportance.EDAtechnologyisdependentonapowerfulcomputer,thesoftwareplatformintheEDAtoolsforthehardwaredescriptionlanguageVHDLdescriptionforthesyste

5、mlogicmeanscompleteddesigndocuments,automaticallycompletethetestlogicoptimizationandsimulation,electroniccircuitsetuptoachievethesystemfunctionality.ThisarticledescribestheVHDLhardwaredescriptionlanguagebasedonmulti-functiondigitalalarmclockdesignideasandtechniques.IntheQuartus11compiler

6、anddevelopmentenvironmentdesignedtosimulatetheprocess,andonebyonetodebugverificationprocessoperatingconditions.Simulationandverificationresultsshowthatthedesignmethodisfeasible,digitalalarmclockcanadjustthetimewhenthealarmclocktoplaymusicwithsomepracticalapplication.Keywords:AlarmClockFP

7、GAVHDLQuartusII目录1选题背景61.1选题研究内容61.2课题研究功能课题研究功能6201.3课题相关技术应用62FPGA简介82.1FPGA概述82.2FPGA编程原理82.3FPGA设计流程93总体设计思想103.1基本原理103.2设计框图104设计步骤和调试过程114.1总体设计电路114.2模块设计和相应模块程序124.3仿真及仿真结果分析154.4实验调试结果17结束语19文献20201选题背景1.1选题研究内容设计一个24小时的闹钟,该闹钟由显示屏、数字键、TIME键、ALARM键、扬声器组成。闹钟总体系统包括

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。