基于quartus2组件dsp_builder设计dds信号发生器

基于quartus2组件dsp_builder设计dds信号发生器

ID:14195796

大小:256.77 KB

页数:11页

时间:2018-07-26

基于quartus2组件dsp_builder设计dds信号发生器_第1页
基于quartus2组件dsp_builder设计dds信号发生器_第2页
基于quartus2组件dsp_builder设计dds信号发生器_第3页
基于quartus2组件dsp_builder设计dds信号发生器_第4页
基于quartus2组件dsp_builder设计dds信号发生器_第5页
资源描述:

《基于quartus2组件dsp_builder设计dds信号发生器》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、基于Quartus2组件DSP_builder设计DDS信号发生器说明:Quartus2中DSP_builder组件建立了Quartus2与Matlab的无缝链接,这样极大的有利于FPGA在信号处理中的应用,本次课题旨在通过建立一个信号发生器来说明DSP_builder的强大之处。传统的DDS信号发生器的设计相对比较复杂(包括相位累加器,地址查找表,D/A),通过传统的编程思想,会比较复杂,DSP_builder则是通过simulink中的Altera库,直接构建DDS模型,再通过signalcomplier生成VHDL

2、语言以及仿真所用的测试脚本(testbench文件),非常方便,并通过simulink和FPGA的仿真工具Modelsim_Atera一起做了对比,两者吻合,达到了预期效果。1.在Simulink中构建DDS模型2.Simulink下的仿真如图所示:3.RTL级仿真(modelsim仿真):4.RTL级视图附:.vhl代码--sinwafe_GN.vhdlibraryIEEE;useIEEE.std_logic_1164.all;useIEEE.numeric_std.all;entitysinwafe_GNisport

3、(Output:outstd_logic_vector(7downto0);--Output.wireInput:instd_logic_vector(0downto0):=(others=>'0');--Input.wireClock:instd_logic:='0';--Clock.clkaclr:instd_logic:='0'--.reset_n);endentitysinwafe_GN;architecturertlofsinwafe_GNiscomponentalt_dspbuilder_clock_GNF3

4、43OQUJisport(aclr:instd_logic:='X';--resetaclr_n:instd_logic:='X';--reset_naclr_out:outstd_logic;--resetclock:instd_logic:='X';--clkclock_out:outstd_logic--clk);endcomponentalt_dspbuilder_clock_GNF343OQUJ;componentalt_dspbuilder_port_GNXAOKDYKCisport(input:instd_

5、logic_vector(0downto0):=(others=>'X');--wireoutput:outstd_logic_vector(0downto0)--wire);endcomponentalt_dspbuilder_port_GNXAOKDYKC;componentalt_dspbuilder_lut_GNV7OH7CRCisgeneric(use_lpm:natural:=0;reg_addr:natural:=0;reg_data:natural:=0;family:string:="STRATIX";

6、ADDRWIDTH:positive:=8;DATAWIDTH:positive:=8;RAMTYPE:string:="AUTO");port(aclr:instd_logic:='X';--clkclock:instd_logic:='X';--clkena:instd_logic:='X';--wireInput:instd_logic_vector(ADDRWIDTH-1downto0):=(others=>'X');--wireOutput:outstd_logic_vector(DATAWIDTH-1down

7、to0);--wiresclr:instd_logic:='X'--wire);endcomponentalt_dspbuilder_lut_GNV7OH7CRC;componentalt_dspbuilder_gnd_GNisport(output:outstd_logic--wire);endcomponentalt_dspbuilder_gnd_GN;componentalt_dspbuilder_vcc_GNisport(output:outstd_logic--wire);endcomponentalt_dsp

8、builder_vcc_GN;componentalt_dspbuilder_product_GNSX3UCWXHisgeneric(pipeline:natural:=0;UseDedicatedMult:natural:=0;lpm:natural:=0;MaskValue:string:="1";Signed:

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。