vhdl语言实例练习 闹钟系统控制电路

vhdl语言实例练习 闹钟系统控制电路

ID:14020732

大小:85.50 KB

页数:4页

时间:2018-07-25

vhdl语言实例练习 闹钟系统控制电路_第1页
vhdl语言实例练习 闹钟系统控制电路_第2页
vhdl语言实例练习 闹钟系统控制电路_第3页
vhdl语言实例练习 闹钟系统控制电路_第4页
资源描述:

《vhdl语言实例练习 闹钟系统控制电路》由会员上传分享,免费在线阅读,更多相关内容在行业资料-天天文库

1、一、课程设计的目的与要求1.设计目的l熟悉MAXPLUS2/QuartusII软件,掌握软件的VHDL程序输入、程序编译和程序仿真操作;l学习利用VHDL语言设计闹钟系统控制电路程序。2.设计要求l根据设计正文提出的闹钟控制电路功能设计相应VHDL程序。l有能力的同学,可以在设计正文提出的闹钟功能基础上,再提出新的功能并完成设计。二、设计正文设计一个闹钟系统控制电路,其功能如下:计时功能:是钟表的基本功能,这里要求设计的时钟能够显示小时、分钟、秒钟(用户可以选择时钟工作方式是24小时显示方式或12小时显示方式)。闹钟功能:用户设置闹钟时间,当

2、时钟时间与闹钟时间相同时,闹钟发出警报。VHDL源程序:LIBRARYIEEE;USEIEEE.STD_LOGIC_1164.ALL;USEIEEE.STD_LOGIC_UNSIGNED.ALL;——包含库和程序包entitynaozhongisport(clk,reset:instd_logic;resettime:instd_logic_vector(16downto0);——端口表定义clk,reset,clocktime等输入变量;elert,hour,minute,secind输出变量clocktime:instd_logic_ve

3、ctor(16downto0);elert:outstd_logic;hour:outstd_logic_vector(4downto0);minute:outstd_logic_vector(5downto0);second:outstd_logic_vector(5downto0));endnaozhong;ARCHITECTUREclockofnaozhongisSIGNALcount1:STD_LOGIC_VECTOR(5DOWNTO0);SIGNALcount2:STD_LOGIC_VECTOR(5DOWNTO0);SIGNALco

4、unt3:STD_LOGIC_VECTOR(4DOWNTO0);——设置信号count1count2count3BEGINsecond<=count1;minute<=count2;hour<=count3;PROCESS(clk,reset)BEGINif(clocktime=count3&count2&count1)then——闹钟报警功能:当现在时间与设定报警时间相同时,发出警报elert<='1';elseelert<='0';endif;IF(reset='1')THENcount1<=resettime(5downto0);cou

5、nt2<=resettime(11downto6);——计时功能:以24小时的时钟计时count3<=resettime(16downto12);elsif(clk'eventANDclk='1')thencount1<=count1+'1';if(count1="111011")thencount2<=count2+'1';count1<="000000";if(count2="111011")thencount3<=count3+'1';count2<="000000";if(count3="10111")thencount3<="000

6、00";endif;endif;endif;endif;——程序结束ENDPROCESS;ENDclock;三、实验结果与分析图表1闹钟系统控制—秒钟由图表1看出在时钟信号clk作用下,秒钟由000000,000001,000010,000100,依次递增计时,并且从闹钟定时clocktime=00000000000000000,报时输出elert=1,完成报时功能。图表2闹钟系统控制—秒钟由图2中可看出,当秒钟计时到second=111011即59秒时,跳转到second=000000,并且有分钟进位minute=0000001,实现了进位

7、功能。图表3闹钟系统控制—分钟从图3红框中看出分钟计时minute由000000,000001,000010,000011,依次递增完成分计时;并且闹钟功能依然有效。要完成显示小时计时功能必须对时钟进行设定才能观察得到,因此加入reset功能,当将时间设定为resettime。图表4闹钟系统控制—时钟从图表4中橙色和红色方框中可以看出当分钟minute由111011进位到000000,并且使小时位hour开始计时,分钟每六十进位,小时加1,;当reset=1时,将resettime=00000111010111011传递给时钟,实现了时钟设置

8、功能。图表5闹钟系统控制—闹钟功能如上图中红色框中可看出设定的闹钟时间为clocktime=00000000000000110,当时钟计时到该时间时,如橙色框中看出

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。