电梯控制器电路设计

电梯控制器电路设计

ID:13919004

大小:3.78 MB

页数:70页

时间:2018-07-25

电梯控制器电路设计_第1页
电梯控制器电路设计_第2页
电梯控制器电路设计_第3页
电梯控制器电路设计_第4页
电梯控制器电路设计_第5页
资源描述:

《电梯控制器电路设计》由会员上传分享,免费在线阅读,更多相关内容在教育资源-天天文库

1、EDA技术与FPGA应用课程设计报告成绩评定表学生姓名刘盈琛班级学号1101040127专业电子科学与技术课程设计题目电梯控制器电路设计评语组长签字:成绩日期20年月日──────────────────────────────────────────────────IVEDA技术与FPGA应用课程设计报告EDA技术与FPGA应用课程设计任务书学院信息科学与工程专业电子科学与技术学生姓名刘盈琛班级学号1103040127课程设计题目电梯控制器电路设计实践教学要求与任务:设计智能电梯运行控制电路,板级验证用按键/开关与数码管/LE

2、D表示输入与输出。(1)楼层4层;(2)梯内显示当前楼层、梯外各层显示当前电梯所在楼层;(3)1层只有向上按钮,4层只有向下按钮,其余各层均有上下按钮;(4)电梯具有锁定功能(梯内);(5)超重/超员报警(电路有空载、超重、乘客入梯指示输入);(6)设计相应的调度算法,使运营成本最低;(6)自行设计设计下载后的验证方案;(7)完成全部流程:设计文档、模块设计、代码输入、功能仿真、约束与综合、布局布线、下载验证等。工作计划与进度安排:本设计持续两周,其中最后一天为答辩时间。第1-2天:讲解题目,准备参考资料,检查、调试实验软硬件,

3、进入设计环境,开始设计方案和验证方案的准备;第3-5天:完成设计与验证方案,经指导老师验收后进入模块电路设计(验收设计文档);第6-7天:完成模块电路设计,进行代码输入,并完成代码的仿真(验收代码与仿真结果);第8-9天:约束设计、实现、下载验证(验收验证实现);第10天:修正设计、整理设计资料,验收合格后进行答辩。指导教师:201年月日专业负责人:201年月日学院教学副院长:201年月日──────────────────────────────────────────────────IVEDA技术与FPGA应用课程设计报告摘

4、要电梯控制电路用于住宅、企业、商场等场所的竖梯控制。本设计是四层单电梯控制电路,通过接收各层乘客发出的请求,电路将请求放入请求列表中。由电梯方向控制模块、电梯间状态控制模块、开关门任务处理模块、电梯运行任务处理模块等从请求列表中拾取乘客请求,并作出相应的响应,还对控制算法进行了优化,达到节约运营成本的目的。电梯还具备超员检测和锁定功能,当超员或者被锁定时,电梯不相应乘客的请求,并给予提示。本设计使用VerilogHDL硬件描述语言进行描述,使用ModelSim软件进行波形仿真验证,使用QuartusII软件进行逻辑综合和适配下载

5、,下载到Altera公司的芯片Cyclone芯片上进行板级测试。在此过程中,根据任务书中的设计要求,完整地建立了测试平台,完成了功能和时序仿真,从而保证了设计的功能与时序的正确性。关键词VerilogHDL;FPGA;仿真;电梯控制──────────────────────────────────────────────────IVEDA技术与FPGA应用课程设计报告目录引言11总体电路结构设计21.1电路功能与性能21.2主要调度算法设计31.3电路接口51.4电路功能框图61.5验证方案72模块设计82.1数码管显示模块设

6、计82.2请求管理模块设计92.3锁定与超员检测模块设计132.4电梯门控制模块设计142.5电梯调度模块设计.........................................................................................................163代码输入与仿真193.1HDL代码输入193.2仿真与测试的功能列表193.3仿真平台构建和仿真结果203.4测试环境的搭建与测试结果294电路约束与综合实现344.1引脚锁定约束344.2电路综合报告354

7、.3设计实现与下载36结论37参考文献38──────────────────────────────────────────────────IVEDA技术课程设计报告引言近十几年来,可编程器件FPGA/CPLD成本的大幅度降低,且随着EDA技术的日益普及,FPGA/CPLD以其较好的集成度和稳定性、可编程实现与升级的特点,在电子设计领域得到了越来越多的应用。[1]以往的电梯控制电路多采用MCU加接口芯片的形式。采用MCU的方式,虽然灵活性更强,但是逻辑可扩展性不强。而采用可编程逻辑芯片FPGA/CPLD方式,实时性更好,逻辑可

8、扩展性也好。所以,在本设计中采用了可编程逻辑芯片FPGA来完成电梯控制功能的实现。[2]本设计使用Altera公司的Cyclone芯片,开发过程中使用ModelSim软件进行功能仿真,使用Altera公司的集成工具QuartusII实现逻辑综合。基本流程是这样的

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。