基于fpga的液晶控制器接口课程设计论文

基于fpga的液晶控制器接口课程设计论文

ID:13589736

大小:344.50 KB

页数:23页

时间:2018-07-23

基于fpga的液晶控制器接口课程设计论文_第1页
基于fpga的液晶控制器接口课程设计论文_第2页
基于fpga的液晶控制器接口课程设计论文_第3页
基于fpga的液晶控制器接口课程设计论文_第4页
基于fpga的液晶控制器接口课程设计论文_第5页
资源描述:

《基于fpga的液晶控制器接口课程设计论文》由会员上传分享,免费在线阅读,更多相关内容在学术论文-天天文库

1、课程设计课程硬件课程设计题目液晶控制器接口设计院系计算机与信息技术学院专业班级学生姓名学生学号指导教师2009年7月10日课程设计任务书课程硬件课程设计题目液晶控制器接口设计专业计算机科学与技术姓名学号主要内容、基本要求等一、主要内容:对于实验仪器上所使用的液晶模块使用说明请参看后面第三部分《中文液晶显示模块说明》中的详细介绍。整个模块共有四个单元电路组成,分别是:时序发生器、地地址发生器、存储器、控制器。其中:时钟发生器共有三组时钟输出,分别送给:地址发生器,使其产生存储器读地址;存储器,作为输出数据的同步时钟;控制器,作为控制器的工作时钟

2、及输出信号同步时钟。时钟发生器的设计方法与前面实验的设计方法类似,在这里就不再重复了。地址发生器在时钟信号与BUSY信号的共同作用下,产生存储器所需的地址信息。当BUSY信号有效时(BUSY=‘1’),停止输出地址信号;当液晶模块处于空闲状态(BUSY=‘0’)时,输出地址信号。控制器用来产生液晶模块所需的STOBE和存储器输出使能信号。当BUSY信号有效时(BUSY=‘1’),关闭存储器的输出;当液晶模块处于空闲状态(BUSY=‘0’)时,分别送出STOBE=‘1’和存储器输出使能信号,等待BUSY变为‘1’。当BUSY=‘1’时,使STO

3、BE=‘0’,数据传送结束。存储器主要用来存储液晶模块的命令,在同步时钟的作用下,数据同步输出。该存储器可为ROM型,也可以为RAM型。当为ROM型是,其显示模式及显示数据不可变,即只能显示固定的信息;为RAM型时,其显示模块及数据可根据需要任意配置,即可显示出更为灵活及复杂的信息,如实时的波形显示器等。二、基本要求:设计并实现一个128×32的汉字图形液晶显示器的控制器,要求:能够显示几个液晶模块字库中的汉字和ASIC字符三、扩展要求能够显示一个简单的图形。按照规范写出论文,要求字数在4000字以上,并进行答辩。论文内容包括概述(学习、调研

4、、分析、设计的内容摘要)、EDA技术的现状和发展趋势、对EL教学实验箱和QuartusⅡ软件的掌握程度、数字钟的设计过程(包括原理图或程序设计、编译、仿真分析、硬件测试的全过程),论文中含有原理图、程序、仿真波形图及其分析报告。完成期限2周指导教师专业负责人2011年月日东北石油大学课程设计成绩评价表课程名称硬件课程设计题目名称液晶控制器接口设计学生姓名学号指导教师姓名职称序号评价项目指标(优秀)满分评分1选题难度选题难度较高,或者对原题目进行了相当程度的改进。102工作量、工作态度和出勤率工作量饱满,工作努力,遵守纪律,出勤率高,工作作风严

5、谨,善于与他人合作。103课程设计质量按期圆满的完成了规定的任务,方案设计合理,思考问题全面,系统功能完善。404报告质量问题论述思路清晰,结构严谨,文理通顺,撰写规范,图表完备正确。305回答问题在进行课程设计程序系统检查时,能正确回答指导教师所提出的问题。106创新(加分项)工作中有创新意识,对前人工作有改进或有应用价值。在进行系统检查时能对创新性进行说明,并在报告中有相应的论述。+5总分评语:指导教师:年月日摘要如今,科技进步给我们的生活带来了很大的变化。液晶显示设备越来越多,各种各样的液晶显示产品走进我们生活中。从手机到电脑显示器,从

6、掌上电脑到平板电视。无处没有液晶显示技术的身影。液晶显示器由于具有低压、微功耗、显示信息量大、体积小等特点,在移动通信终端、便携计算机、GPS卫星定位系统等领域有广泛用途,成为使用量最大的显示器件。液晶显示控制器作为液晶驱动电路的核心部件通常由集成电路组成,通过为液晶显示系统提供时序信号和显示数据来实现液晶显示。本设计是一种基于FPGA(现场可编程门阵列)的液晶显示控制器。与集成电路控制器相比,FPGA更加灵活,可以针对小同的液晶显示模块更改时序信号和显示数据。FPGA的集成度、复杂度和面积优势使得其日益成为一种颇具吸引力的高性价比ASIC替

7、代方案。本文选用MAX7000S系列的EPM7128SLC84-15器件,利用硬件描述语言VHDL设计了液晶显示拧制器,实现了替代专用集成电路驱动控制LCD的作用。关键词:EDA(电子设计自动化),VHDL(硬件描述语言),液晶控制器接口设计,LCD(液晶显示器)。目录第1章课程设计内容和要求11.1设计内容11.2设计要求11.3设计目的1第2章液晶控制器设计方案22.1设计思路22.2工作原理及框图22.3各模块功能描述4第3章液晶显示器接口底层电路设计63.1设计规划63.2设计说明63.3底层电路程序6第4章液晶控制器接口的顶层文件设

8、计114.1设计说明114.2顶层文件程序11第5章液晶控制器接口设计的测试与运行135.1仿真结果135.2实验箱验证情况13总结15参考文献16东北石油大学本科

当前文档最多预览五页,下载文档查看全文

此文档下载收益归作者所有

当前文档最多预览五页,下载文档查看全文
温馨提示:
1. 部分包含数学公式或PPT动画的文件,查看预览时可能会显示错乱或异常,文件下载后无此问题,请放心下载。
2. 本文档由用户上传,版权归属用户,天天文库负责整理代发布。如果您对本文档版权有争议请及时联系客服。
3. 下载前请仔细阅读文档内容,确认文档内容符合您的需求后进行下载,若出现内容与标题不符可向本站投诉处理。
4. 下载文档时可能由于网络波动等原因无法下载或下载错误,付费完成后未能成功下载的用户请联系客服处理。